BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

192 related articles for article (PubMed ID: 15285094)

  • 21. Full field analysis of critical dimension uniformity due to focal variation for contact features in extreme ultraviolet lithography.
    Kuo HF; Frederick
    J Nanosci Nanotechnol; 2014 Mar; 14(3):2630-4. PubMed ID: 24745274
    [TBL] [Abstract][Full Text] [Related]  

  • 22. Modeling of line roughness and its impact on the diffraction intensities and the reconstructed critical dimensions in scatterometry.
    Gross H; Henn MA; Heidenreich S; Rathsfeld A; Bär M
    Appl Opt; 2012 Oct; 51(30):7384-94. PubMed ID: 23089796
    [TBL] [Abstract][Full Text] [Related]  

  • 23. Resistless EUV lithography: Photon-induced oxide patterning on silicon.
    Tseng LT; Karadan P; Kazazis D; Constantinou PC; Stock TJZ; Curson NJ; Schofield SR; Muntwiler M; Aeppli G; Ekinci Y
    Sci Adv; 2023 Apr; 9(16):eadf5997. PubMed ID: 37075116
    [TBL] [Abstract][Full Text] [Related]  

  • 24. Extreme ultraviolet laser-based table-top aerial image metrology of lithographic masks.
    Brizuela F; Carbajo S; Sakdinawat A; Alessi D; Martz DH; Wang Y; Luther B; Goldberg KA; Mochi I; Attwood DT; La Fontaine B; Rocca JJ; Menoni CS
    Opt Express; 2010 Jul; 18(14):14467-73. PubMed ID: 20639931
    [TBL] [Abstract][Full Text] [Related]  

  • 25. Beyond EUV lithography: a comparative study of efficient photoresists' performance.
    Mojarad N; Gobrecht J; Ekinci Y
    Sci Rep; 2015 Mar; 5():9235. PubMed ID: 25783209
    [TBL] [Abstract][Full Text] [Related]  

  • 26. Fluorinated acid amplifiers for EUV lithography.
    Kruger S; Revuru S; Higgins C; Gibbons S; Freedman DA; Yueh W; Younkin TR; Brainard RL
    J Am Chem Soc; 2009 Jul; 131(29):9862-3. PubMed ID: 19569650
    [TBL] [Abstract][Full Text] [Related]  

  • 27. Impact of Line Edge Roughness on ReRAM Uniformity and Scaling.
    Constantoudis V; Papavieros G; Karakolis P; Khiat A; Prodromakis T; Dimitrakis P
    Materials (Basel); 2019 Nov; 12(23):. PubMed ID: 31801205
    [TBL] [Abstract][Full Text] [Related]  

  • 28. Modeling of through-focus aerial image with aberration and imaginary mask edge effects in optical lithography simulation.
    Yamazoe K; Neureuther AR
    Appl Opt; 2011 Jul; 50(20):3570-8. PubMed ID: 21743568
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Fabricating a high-resolution mask with improved line-edge roughness by using a nonchemically amplified resist and a postexposure bake.
    Miyoshi H; Taniguchi J
    J Vac Sci Technol B Nanotechnol Microelectron; 2015 Nov; 33(6):06FD05. PubMed ID: 26594597
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Gradient-based inverse extreme ultraviolet lithography.
    Ma X; Wang J; Chen X; Li Y; Arce GR
    Appl Opt; 2015 Aug; 54(24):7284-300. PubMed ID: 26368764
    [TBL] [Abstract][Full Text] [Related]  

  • 31. Reduction of Line Edge Roughness of Polystyrene-block-Poly(methyl methacrylate) Copolymer Nanopatterns By Introducing Hydrogen Bonding at the Junction Point of Two Block Chains.
    Lee KS; Lee J; Kwak J; Moon HC; Kim JK
    ACS Appl Mater Interfaces; 2017 Sep; 9(37):31245-31251. PubMed ID: 28218827
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Exposure latitude aware source and mask optimization for extreme ultraviolet lithography.
    Zou L; Sun Y; Wei P; Yuan M; Li Z; Liu L; Li Y
    Appl Opt; 2021 Oct; 60(30):9404-9410. PubMed ID: 34807079
    [TBL] [Abstract][Full Text] [Related]  

  • 33. Enhanced Acid Diffusion Control by Using Photoacid Generator Bound Polymer Resist.
    Jung JH; Kim MJ; Sohn KH; Kang HN; Kang MK; Lee H
    J Nanosci Nanotechnol; 2015 Feb; 15(2):1764-6. PubMed ID: 26353729
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Thermal probe maskless lithography for 27.5 nm half-pitch Si technology.
    Cheong LL; Paul P; Holzner F; Despont M; Coady DJ; Hedrick JL; Allen R; Knoll AW; Duerig U
    Nano Lett; 2013 Sep; 13(9):4485-91. PubMed ID: 23965001
    [TBL] [Abstract][Full Text] [Related]  

  • 35. Absolute sensitivity calibration of extreme ultraviolet photoresists.
    Naulleau PP; Gullikson EM; Aquila A; George S; Niakoula D
    Opt Express; 2008 Jul; 16(15):11519-24. PubMed ID: 18648473
    [TBL] [Abstract][Full Text] [Related]  

  • 36. At-wavelength inspection of sub-40 nm defects in extreme ultraviolet lithography mask blank by photoemission electron microscopy.
    Lin J; Weber N; Maul J; Hendel S; Rott K; Merkel M; Schoenhense G; Kleineberg U
    Opt Lett; 2007 Jul; 32(13):1875-7. PubMed ID: 17603599
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Influence of the vibration of extreme ultraviolet lithographic tool stages on imaging.
    Shen H; Zhang L; Wei Y; Liu S
    Opt Express; 2023 Jul; 31(14):22358-22371. PubMed ID: 37475348
    [TBL] [Abstract][Full Text] [Related]  

  • 38. Computational proximity lithography with extreme ultraviolet radiation.
    Deuter V; Grochowicz M; Brose S; Biller J; Danylyuk S; Taubner T; Siemion A; Grützmacher D; Juschkin L
    Opt Express; 2020 Aug; 28(18):27000-27012. PubMed ID: 32906962
    [TBL] [Abstract][Full Text] [Related]  

  • 39. Compensation of EUV lithography mask blank defect based on an advanced genetic algorithm.
    Wu R; Dong L; Ma X; Wei Y
    Opt Express; 2021 Aug; 29(18):28872-28885. PubMed ID: 34615008
    [TBL] [Abstract][Full Text] [Related]  

  • 40. Single-digit-resolution nanopatterning with extreme ultraviolet light for the 2.5 nm technology node and beyond.
    Mojarad N; Hojeij M; Wang L; Gobrecht J; Ekinci Y
    Nanoscale; 2015 Mar; 7(9):4031-7. PubMed ID: 25653148
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 10.