BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

249 related articles for article (PubMed ID: 17663272)

  • 1. Energy shifts of Si oxidation states in the system of Si nanocrystals embedded in SiO2 matrix.
    Chen TP; Liu Y; Sun CQ; Tseng AA; Fung S
    J Nanosci Nanotechnol; 2007 Jul; 7(7):2506-10. PubMed ID: 17663272
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Phosphorus doping of ultra-small silicon nanocrystals.
    Perego M; Bonafos C; Fanciulli M
    Nanotechnology; 2010 Jan; 21(2):025602. PubMed ID: 19955620
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Vertical charge-carrier transport in Si nanocrystal/SiO2 multilayer structures.
    Osinniy V; Lysgaard S; Kolkovsky V; Pankratov V; Nylandsted Larsen A
    Nanotechnology; 2009 May; 20(19):195201. PubMed ID: 19420632
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Localized CO2 laser annealing induced dehydrogenation/ablation and optical refinement of silicon-rich silicon dioxide film with embedded si nanocrystals.
    Lin GR; Lin CJ; Chou LJ; Chueh YL
    J Nanosci Nanotechnol; 2006 Dec; 6(12):3710-7. PubMed ID: 17256320
    [TBL] [Abstract][Full Text] [Related]  

  • 5. The modification of Si nanocrystallites embedded in a dielectric matrix by high energy ion irradiation.
    Antonova IV; Gulyaev MB; Cherkov AG; Volodin VA; Marin DV; Skuratov VA; Jedrzejewski J; Balberg I
    Nanotechnology; 2009 Mar; 20(9):095205. PubMed ID: 19417483
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Nanoepitaxy of GaAs on a Si(001) substrate using a round-hole nanopatterned SiO2 mask.
    Hsu CW; Chen YF; Su YK
    Nanotechnology; 2012 Dec; 23(49):495306. PubMed ID: 23154824
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Silicon subiodide clusters.
    Dmytruk A; Park YS; Kasuya A; Kikuchi H; Takahashi M; Kawazoe Y; Watanabe A
    J Nanosci Nanotechnol; 2007 Nov; 7(11):3788-91. PubMed ID: 18047059
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Size limits on doping phosphorus into silicon nanocrystals.
    Chan TL; Tiago ML; Kaxiras E; Chelikowsky JR
    Nano Lett; 2008 Feb; 8(2):596-600. PubMed ID: 18154366
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Investigating the graphitization mechanism of SiO(2) nanoparticles in chemical vapor deposition.
    Bachmatiuk A; Börrnert F; Grobosch M; Schäffel F; Wolff U; Scott A; Zaka M; Warner JH; Klingeler R; Knupfer M; Büchner B; Rümmeli MH
    ACS Nano; 2009 Dec; 3(12):4098-104. PubMed ID: 19908851
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Global faceting behavior of strained Ge islands on Si.
    Robinson JT; Rastelli A; Schmidt O; Dubon OD
    Nanotechnology; 2009 Feb; 20(8):085708. PubMed ID: 19417469
    [TBL] [Abstract][Full Text] [Related]  

  • 11. High-throughput dip-pen-nanolithography-based fabrication of Si nanostructures.
    Zhang H; Amro NA; Disawal S; Elghanian R; Shile R; Fragala J
    Small; 2007 Jan; 3(1):81-5. PubMed ID: 17294474
    [No Abstract]   [Full Text] [Related]  

  • 12. Structural and optical properties of silicon nanocrystals grown by plasma-enhanced chemical vapor deposition.
    Prakash GV; Daldosso N; Degoli E; Iacona F; Cazzanelli M; Gaburro Z; Pucker G; Dalba P; Rocca F; Ceretta Moreira E; Franzò G; Pacifici D; Priolo F; Arcangeli C; Filonov AB; Ossicini S; Pavesi L
    J Nanosci Nanotechnol; 2001 Jun; 1(2):159-68. PubMed ID: 12914047
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Hybrid solar cells from P3HT and silicon nanocrystals.
    Liu CY; Holman ZC; Kortshagen UR
    Nano Lett; 2009 Jan; 9(1):449-52. PubMed ID: 19113966
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Polymorphous silicon thin films obtained by plasma-enhanced chemical vapor deposition using dichlorosilane as silicon precursor.
    Remolina A; Monroy BM; García-Sánchez MF; Ponce A; Bizarro M; Alonso JC; Ortiz A; Santana G
    Nanotechnology; 2009 Jun; 20(24):245604. PubMed ID: 19471076
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Control of shape and material composition of solid-state nanopores.
    Wu MY; Smeets RM; Zandbergen M; Ziese U; Krapf D; Batson PE; Dekker NH; Dekker C; Zandbergen HW
    Nano Lett; 2009 Jan; 9(1):479-84. PubMed ID: 19143508
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Surface-engineered silicon nanocrystals.
    Mariotti D; Mitra S; Svrček V
    Nanoscale; 2013 Feb; 5(4):1385-98. PubMed ID: 23334154
    [TBL] [Abstract][Full Text] [Related]  

  • 17. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography.
    Chen P; Fan Y; Zhong Z
    Nanotechnology; 2009 Mar; 20(9):095303. PubMed ID: 19417486
    [TBL] [Abstract][Full Text] [Related]  

  • 18. From SiO molecules to silicates in circumstellar space: atomic structures, growth patterns, and optical signatures of SinOm clusters.
    Reber AC; Paranthaman S; Clayborne PA; Khanna SN; Castleman AW
    ACS Nano; 2008 Aug; 2(8):1729-37. PubMed ID: 19206378
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Three-dimensional sequential self-assembly of microscale objects.
    Onoe H; Matsumoto K; Shimoyama I
    Small; 2007 Aug; 3(8):1383-9. PubMed ID: 17594683
    [No Abstract]   [Full Text] [Related]  

  • 20. Poly(vinyl alcohol)/silica nanocomposites: morphology and thermal degradation kinetics.
    Peng Z; Kong LX; Li SD; Spiridonov P
    J Nanosci Nanotechnol; 2006 Dec; 6(12):3934-8. PubMed ID: 17256356
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 13.