These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

65 related articles for article (PubMed ID: 20135001)

  • 1. Quantitative Evaluation of Photoresist Patterns in the 1 - microm Range.
    Widmann DW
    Appl Opt; 1975 Apr; 14(4):931-4. PubMed ID: 20135001
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Surface-plasmon-polaritons-assisted nanolithography with dual-wavelength illumination for high exposure depth.
    Shi S; Zhang Z; Du J; Yang Z; Shi R; Li S; Gao F
    Opt Lett; 2012 Jan; 37(2):247-9. PubMed ID: 22854482
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Generation of periodic surface corrugations.
    Johnson LF; Kammlott GW; Ingersoll KA
    Appl Opt; 1978 Apr; 17(8):1165-81. PubMed ID: 20197956
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Theoretical modeling of the simultaneous exposure and development (SED) process of a positive photoresist.
    Tsang WT
    Appl Opt; 1977 Jul; 16(7):1918-30. PubMed ID: 20168835
    [TBL] [Abstract][Full Text] [Related]  

  • 5. X-ray absorption spectroscopy to probe surface composition and surface deprotection in photoresist films.
    Lenhart JL; Fischer DA; Sambasivan S; Lin EK; Jones RL; Soles CL; Wu WL; Goldfarb DL; Angelopoulos M
    Langmuir; 2005 Apr; 21(9):4007-15. PubMed ID: 15835968
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Binary amplitude holograms made from dyed photoresist.
    Wang Q; Griesmann U; Burnett JH
    Opt Lett; 2011 May; 36(10):1899-901. PubMed ID: 21593928
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Effects on profile depth of changes in the thickness of the photoresist layers.
    Olivares-Pérez A; Malacara D; Solano S CE; Isaksen JP
    Appl Opt; 1994 Apr; 33(10):2043-7. PubMed ID: 20885542
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Fabrication of thin periodic structures in photoresist: a model.
    Austin S; Stone FT
    Appl Opt; 1976 Apr; 15(4):1071-4. PubMed ID: 20165122
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Measurement of the strength of adhesion of resist patterns using an atomic force microscope.
    Kim SK; Jung MH; Kim HW; Woo SG; Lee H
    Nanotechnology; 2005 Oct; 16(10):2227-32. PubMed ID: 20818000
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Responses of simple optical standing wave sensors.
    de Haan VO; Santbergen R; Tijssen M; Zeman M
    Appl Opt; 2012 Jun; 51(16):3109-13. PubMed ID: 22695540
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Polarization-enhanced imaging of photoresist gratings in the real-time scanning optical microscope.
    Corle TR; Mantalas LC; Kaack TR; Lacomb LJ
    Appl Opt; 1994 Feb; 33(4):670-7. PubMed ID: 20862062
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Absorption and exposure in positive photoresist.
    Mack CA
    Appl Opt; 1988 Dec; 27(23):4913-9. PubMed ID: 20539674
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Mechanism of extreme ultraviolet photoresist development with a supercritical CO2 compatible salt.
    Zweber AE; Wagner M; DeYoung J; Carbonell RG
    Langmuir; 2009 Jun; 25(11):6176-90. PubMed ID: 19466780
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Fabrication of complex multilevel microchannels in PDMS by using three-dimensional photoresist masters.
    Yun KS; Yoon E
    Lab Chip; 2008 Feb; 8(2):245-50. PubMed ID: 18231662
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Developed profile of holographically exposed photoresist gratings.
    de A Mello B; da Costa IF; Lima CR; Cescato L
    Appl Opt; 1995 Feb; 34(4):597-603. PubMed ID: 20963156
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Characteristics of surface sound pressure and absorption of a finite impedance strip for a grazing incident plane wave.
    Sum KS; Pan J
    J Acoust Soc Am; 2007 Jul; 122(1):333-44. PubMed ID: 17614493
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation.
    Park J; Lee SG; Vesters Y; Severi J; Kim M; De Simone D; Oh HK; Hur SM
    Polymers (Basel); 2019 Nov; 11(12):. PubMed ID: 31766636
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Atomic layer deposition on phase-shift lithography generated photoresist patterns for 1D nanochannel fabrication.
    Güder F; Yang Y; Krüger M; Stevens GB; Zacharias M
    ACS Appl Mater Interfaces; 2010 Dec; 2(12):3473-8. PubMed ID: 21047101
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art.
    Grigorescu AE; Hagen CW
    Nanotechnology; 2009 Jul; 20(29):292001. PubMed ID: 19567961
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Modulation Transfer Function of AZ111 Photoresist.
    Brandes RG; Curran RK
    Appl Opt; 1971 Sep; 10(9):2101-3. PubMed ID: 20111277
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 4.