These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

275 related articles for article (PubMed ID: 21096174)

  • 1. A miniature on-chip multi-functional ECG signal processor with 30 µW ultra-low power consumption.
    Liu X; Zheng YJ; Phyu MW; Zhao B; Je M; Yuan XJ
    Annu Int Conf IEEE Eng Med Biol Soc; 2010; 2010():2577-80. PubMed ID: 21096174
    [TBL] [Abstract][Full Text] [Related]  

  • 2. A 1.0 V 78 mircoW reconfigurable ASIC embedded in an intelligent electrode for continuous remote ECG applications.
    Yang G; Chen J; Jonsson F; Tenhunen H; Zheng LR
    Annu Int Conf IEEE Eng Med Biol Soc; 2009; 2009():2316-9. PubMed ID: 19965175
    [TBL] [Abstract][Full Text] [Related]  

  • 3. An ultra low power ECG signal processor design for cardiovascular disease detection.
    Jain SK; Bhaumik B
    Annu Int Conf IEEE Eng Med Biol Soc; 2015 Aug; 2015():857-60. PubMed ID: 26736397
    [TBL] [Abstract][Full Text] [Related]  

  • 4. A 746 nW ECG Processor ASIC Based on Ternary Neural Network.
    Abubakar SM; Yin Y; Tan S; Jiang H; Wang Z
    IEEE Trans Biomed Circuits Syst; 2022 Aug; 16(4):703-713. PubMed ID: 35921346
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Multiple functional ECG signal is processing for wearable applications of long-term cardiac monitoring.
    Liu X; Zheng Y; Phyu MW; Zhao B; Je M; Yuan X
    IEEE Trans Biomed Eng; 2011 Feb; 58(2):380-9. PubMed ID: 20679025
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Ultra-Thin Chip Package (UTCP) and stretchable circuit technologies for wearable ECG system.
    Sterken T; Vanfleteren J; Torfs T; de Beeck MO; Bossuyt F; Van Hoof C
    Annu Int Conf IEEE Eng Med Biol Soc; 2011; 2011():6886-9. PubMed ID: 22255921
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Micropower circuits for bidirectional wireless telemetry in neural recording applications.
    Neihart NM; Harrison RR
    IEEE Trans Biomed Eng; 2005 Nov; 52(11):1950-9. PubMed ID: 16285399
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Harmonics-based bio-implantable telemetry system.
    Laskovski AN; Yuce MR
    Annu Int Conf IEEE Eng Med Biol Soc; 2008; 2008():3196-9. PubMed ID: 19163386
    [TBL] [Abstract][Full Text] [Related]  

  • 9. A low power biomedical signal processor ASIC based on hardware software codesign.
    Nie ZD; Wang L; Chen WG; Zhang T; Zhang YT
    Annu Int Conf IEEE Eng Med Biol Soc; 2009; 2009():2559-62. PubMed ID: 19965211
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Low-power transceiver analog front-end circuits for bidirectional high data rate wireless telemetry in medical endoscopy applications.
    Chi B; Yao J; Han S; Xie X; Li G; Wang Z
    IEEE Trans Biomed Eng; 2007 Jul; 54(7):1291-9. PubMed ID: 17605360
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Sensium: an ultra-low-power wireless body sensor network platform: design & application challenges.
    Wong AW; McDonagh D; Omeni O; Nunn C; Hernandez-Silveira M; Burdett AJ
    Annu Int Conf IEEE Eng Med Biol Soc; 2009; 2009():6576-9. PubMed ID: 19964698
    [TBL] [Abstract][Full Text] [Related]  

  • 12. A low-power and miniaturized electrocardiograph data collection system with smart textile electrodes for monitoring of cardiac function.
    Dai M; Xiao X; Chen X; Lin H; Wu W; Chen S
    Australas Phys Eng Sci Med; 2016 Dec; 39(4):1029-1040. PubMed ID: 27743384
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Fully-integrated heart rate variability monitoring system with an efficient memory.
    Wang X; Chen M; Macchiarulo L; Boric-Lubecke O
    Conf Proc IEEE Eng Med Biol Soc; 2006; 2006():5064-7. PubMed ID: 17946281
    [TBL] [Abstract][Full Text] [Related]  

  • 14. [Development of a Wearable Wireless ECG Monitoring System with Ultra-low Power Consumption].
    Sun Z; Ye J; Zhang X; Yuan M; Zhong Z; Tan X
    Zhongguo Yi Liao Qi Xie Za Zhi; 2020 Jan; 44(1):28-32. PubMed ID: 32343062
    [TBL] [Abstract][Full Text] [Related]  

  • 15. A generic miniature multi-feature programmable wireless powering headstage ASIC for implantable biomedical systems.
    Kubendran R; Krishnan H; Manola B; John SW; Chappell WJ; Irazoqui PP
    Annu Int Conf IEEE Eng Med Biol Soc; 2011; 2011():5617-20. PubMed ID: 22255613
    [TBL] [Abstract][Full Text] [Related]  

  • 16. A low power wearable transceiver for human body communication.
    Huang J; Chen LK; Zhang YT
    Annu Int Conf IEEE Eng Med Biol Soc; 2009; 2009():3802-5. PubMed ID: 19965236
    [TBL] [Abstract][Full Text] [Related]  

  • 17. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.
    Khayatzadeh M; Zhang X; Tan J; Liew WS; Lian Y
    IEEE Trans Biomed Circuits Syst; 2013 Oct; 7(5):583-92. PubMed ID: 24108477
    [TBL] [Abstract][Full Text] [Related]  

  • 18. A 64-channel neural signal processor/ compressor based on Haar wavelet transform.
    Shaeri MA; Sodagar AM; Abrishami-Moghaddam H
    Annu Int Conf IEEE Eng Med Biol Soc; 2011; 2011():6409-12. PubMed ID: 22255805
    [TBL] [Abstract][Full Text] [Related]  

  • 19. A wireless ECG acquisition and classification system for body sensor networks.
    Hong JH; Lee SY; Liang MC; Hsieh CH; Chang Chien SY
    Annu Int Conf IEEE Eng Med Biol Soc; 2013; 2013():5183-6. PubMed ID: 24110903
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Low-power signal processing devices for portable ECG detection.
    Lee SY; Cheng CJ; Wang CP; Kao WC
    Annu Int Conf IEEE Eng Med Biol Soc; 2008; 2008():1683-6. PubMed ID: 19163002
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 14.