These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

127 related articles for article (PubMed ID: 21164674)

  • 1. Impacts of cost functions on inverse lithography patterning.
    Yu JC; Yu P
    Opt Express; 2010 Oct; 18(22):23331-42. PubMed ID: 21164674
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Gradient-based source and mask optimization in optical lithography.
    Peng Y; Zhang J; Wang Y; Yu Z
    IEEE Trans Image Process; 2011 Oct; 20(10):2856-64. PubMed ID: 21435976
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Nonlinear compressive inverse lithography aided by low-rank regularization.
    Ma X; Wang Z; Zhu J; Zhang S; Arce GR; Zhao S
    Opt Express; 2019 Oct; 27(21):29992-30008. PubMed ID: 31684254
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Colloidal lithography--the art of nanochemical patterning.
    Zhang G; Wang D
    Chem Asian J; 2009 Feb; 4(2):236-45. PubMed ID: 18988237
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Generalized inverse lithography methods for phase-shifting mask design.
    Ma X; Arce GR
    Opt Express; 2007 Nov; 15(23):15066-79. PubMed ID: 19550790
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Digital inverse patterning solutions for fabrication of high-fidelity microstructures in spatial light modulator (SLM)-based projection lithography.
    Chen JT; Zhao YY; Zhu JX; Duan XM
    Opt Express; 2024 Feb; 32(5):6800-6813. PubMed ID: 38439377
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Mask correction method for surface plasmon lithography.
    Ma L; Zhang L; He J; Ding H; Wei Y
    Appl Opt; 2024 Jan; 63(2):499-505. PubMed ID: 38227247
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Compensation of EUV lithography mask blank defect based on an advanced genetic algorithm.
    Wu R; Dong L; Ma X; Wei Y
    Opt Express; 2021 Aug; 29(18):28872-28885. PubMed ID: 34615008
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Facile fabrication of microfluidic systems using electron beam lithography.
    Mali P; Sarkar A; Lal R
    Lab Chip; 2006 Feb; 6(2):310-5. PubMed ID: 16450043
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Pixel-based OPC optimization based on conjugate gradients.
    Ma X; Arce GR
    Opt Express; 2011 Jan; 19(3):2165-80. PubMed ID: 21369034
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Deformation of nanostructures on polymer molds during soft UV nanoimprint lithography.
    Gilles S; Diez M; Offenhäusser A; Lensen MC; Mayer D
    Nanotechnology; 2010 Jun; 21(24):245307. PubMed ID: 20498521
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Efficient informatics-based source and mask optimization for optical lithography.
    Pan Y; Ma X; Zhang S; Garcia-Frias J; Arce GR
    Appl Opt; 2021 Sep; 60(27):8307-8315. PubMed ID: 34612927
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Gradient-based inverse extreme ultraviolet lithography.
    Ma X; Wang J; Chen X; Li Y; Arce GR
    Appl Opt; 2015 Aug; 54(24):7284-300. PubMed ID: 26368764
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Nanoscale patterning by UV nanoimprint lithography using an organometallic resist.
    Acikgoz C; Vratzov B; Hempenius MA; Vancso GJ; Huskens J
    ACS Appl Mater Interfaces; 2009 Nov; 1(11):2645-50. PubMed ID: 20356138
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Modeling of through-focus aerial image with aberration and imaginary mask edge effects in optical lithography simulation.
    Yamazoe K; Neureuther AR
    Appl Opt; 2011 Jul; 50(20):3570-8. PubMed ID: 21743568
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Advanced mask aligner lithography: new illumination system.
    Voelkel R; Vogler U; Bich A; Pernet P; Weible KJ; Hornung M; Zoberbier R; Cullmann E; Stuerzebecher L; Harzendorf T; Zeitner UD
    Opt Express; 2010 Sep; 18(20):20968-78. PubMed ID: 20940992
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Mask optimization approaches in optical lithography based on a vector imaging model.
    Ma X; Li Y; Dong L
    J Opt Soc Am A Opt Image Sci Vis; 2012 Jul; 29(7):1300-12. PubMed ID: 22751396
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Inverse lithography physics-informed deep neural level set for mask optimization.
    Ma XY; Hao S
    Appl Opt; 2023 Nov; 62(33):8769-8779. PubMed ID: 38038022
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Resist nanokirigami for multipurpose patterning.
    Liu Q; Chen Y; Feng Z; Shu Z; Duan H
    Natl Sci Rev; 2022 Nov; 9(11):nwab231. PubMed ID: 36523567
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Robust hybrid source and mask optimization to lithography source blur and flare.
    Han C; Li Y; Ma X; Liu L
    Appl Opt; 2015 Jun; 54(17):5291-302. PubMed ID: 26192826
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 7.