These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

109 related articles for article (PubMed ID: 22849135)

  • 1. Directed self-assembly lithography and its application based on simulation approach.
    Kim SK
    J Nanosci Nanotechnol; 2012 Apr; 12(4):3412-6. PubMed ID: 22849135
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Stochastic simulation studies of line-edge roughness in block copolymer lithography.
    Kim SK
    J Nanosci Nanotechnol; 2014 Aug; 14(8):6143-5. PubMed ID: 25936074
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Contact Hole Shrinking of Directed Self-Assembly and Its Application Based on Simulation Approach.
    Kim SK
    J Nanosci Nanotechnol; 2015 Oct; 15(10):8183-6. PubMed ID: 26726484
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Modeling and simulation of patterning diblock copolymers through nanoimprint lithography.
    Kim SK
    J Nanosci Nanotechnol; 2014 Aug; 14(8):6065-8. PubMed ID: 25936058
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Carbohydrate-based block copolymer systems: directed self-assembly for nanolithography applications.
    Otsuka I; Nilsson N; Suyatin DB; Maximov I; Borsali R
    Soft Matter; 2017 Oct; 13(40):7406-7411. PubMed ID: 28959807
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media.
    Yang X; Xiao S; Hu W; Hwu J; van de Veerdonk R; Wago K; Lee K; Kuo D
    Nanotechnology; 2014 Oct; 25(39):395301. PubMed ID: 25189432
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication.
    Tsai H; Pitera JW; Miyazoe H; Bangsaruntip S; Engelmann SU; Liu CC; Cheng JY; Bucchignano JJ; Klaus DP; Joseph EA; Sanders DP; Colburn ME; Guillorn MA
    ACS Nano; 2014 May; 8(5):5227-32. PubMed ID: 24670216
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Combined epitaxial self-assembly of block copolymer lamellae on a hexagonal pre-pattern within microgrooves.
    Jung H; Woo S; Park S; Lee S; Kang M; Choe Y; Son JG; Ryu du Y; Huh J; Bang J
    Soft Matter; 2015 Jun; 11(21):4242-50. PubMed ID: 25894536
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Path to Move Beyond the Resolution Limit with Directed Self-Assembly.
    Wan L; Ruiz R
    ACS Appl Mater Interfaces; 2019 Jun; 11(22):20333-20340. PubMed ID: 31074615
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Selective directed self-assembly of coexisting morphologies using block copolymer blends.
    Stein A; Wright G; Yager KG; Doerk GS; Black CT
    Nat Commun; 2016 Aug; 7():12366. PubMed ID: 27480327
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Prediction of Electric Field Effects on Defect-Free Self-Assembled Nano-Patterning of Block Copolymer.
    Kim SK
    J Nanosci Nanotechnol; 2016 Mar; 16(3):2706-9. PubMed ID: 27455694
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Pulsed transfer etching of PS-PDMS block copolymers self-assembled in 193 nm lithography stacks.
    Girardot C; Böhme S; Archambault S; Salaün M; Latu-Romain E; Cunge G; Joubert O; Zelsmann M
    ACS Appl Mater Interfaces; 2014 Sep; 6(18):16276-82. PubMed ID: 25111901
    [TBL] [Abstract][Full Text] [Related]  

  • 13. A general design strategy for block copolymer directed self-assembly patterning of integrated circuits contact holes using an alphabet approach.
    Yi H; Bao XY; Tiberio R; Wong HS
    Nano Lett; 2015 Feb; 15(2):805-12. PubMed ID: 25551471
    [TBL] [Abstract][Full Text] [Related]  

  • 14. X-ray characterization of contact holes for block copolymer lithography.
    Sunday DF; Delachat F; Gharbi A; Freychet G; Liman CD; Tiron R; Kline RJ
    J Appl Crystallogr; 2019; 52(1):. PubMed ID: 33033414
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Thermal scanning probe lithography for the directed self-assembly of block copolymers.
    Gottlieb S; Lorenzoni M; Evangelio L; Fernández-Regúlez M; Ryu YK; Rawlings C; Spieser M; Knoll AW; Perez-Murano F
    Nanotechnology; 2017 Apr; 28(17):175301. PubMed ID: 28374684
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Self-Registered Self-Assembly of Block Copolymers.
    Wan L; Ruiz R; Gao H; Albrecht TR
    ACS Nano; 2017 Aug; 11(8):7666-7673. PubMed ID: 28714668
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures.
    Ren J; Ocola LE; Divan R; Czaplewski DA; Segal-Peretz T; Xiong S; Kline RJ; Arges CG; Nealey PF
    Nanotechnology; 2016 Oct; 27(43):435303. PubMed ID: 27659775
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Pattern transfer using block copolymers.
    Gu X; Gunkel I; Russell TP
    Philos Trans A Math Phys Eng Sci; 2013 Oct; 371(2000):20120306. PubMed ID: 24000358
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Engineering the Kinetics of Directed Self-Assembly of Block Copolymers toward Fast and Defect-Free Assembly.
    Ren J; Zhou C; Chen X; Dolejsi M; Craig GSW; Rincon Delgadillo PA; Segal-Peretz T; Nealey PF
    ACS Appl Mater Interfaces; 2018 Jul; 10(27):23414-23423. PubMed ID: 29878751
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing.
    Xiong S; Wan L; Ishida Y; Chapuis YA; Craig GS; Ruiz R; Nealey PF
    ACS Nano; 2016 Aug; 10(8):7855-65. PubMed ID: 27482932
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 6.