These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

131 related articles for article (PubMed ID: 23026441)

  • 1. Strain measurement in semiconductor heterostructures by scanning transmission electron microscopy.
    Müller K; Rosenauer A; Schowalter M; Zweck J; Fritz R; Volz K
    Microsc Microanal; 2012 Oct; 18(5):995-1009. PubMed ID: 23026441
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Strain measurement at the nanoscale: Comparison between convergent beam electron diffraction, nano-beam electron diffraction, high resolution imaging and dark field electron holography.
    Béché A; Rouvière JL; Barnes JP; Cooper D
    Ultramicroscopy; 2013 Aug; 131():10-23. PubMed ID: 23673283
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Comparison of convergent beam electron diffraction and geometric phase analysis for strain measurement in a strained silicon device.
    Diercks D; Lian G; Chung J; Kaufman M
    J Microsc; 2011 Feb; 241(2):195-9. PubMed ID: 21118218
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Theoretical study of precision and accuracy of strain analysis by nano-beam electron diffraction.
    Mahr C; Müller-Caspary K; Grieb T; Schowalter M; Mehrtens T; Krause FF; Zillmann D; Rosenauer A
    Ultramicroscopy; 2015 Nov; 158():38-48. PubMed ID: 26141289
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Nanoscale holographic interferometry for strain measurements in electronic devices.
    Hÿtch M; Houdellier F; Hüe F; Snoeck E
    Nature; 2008 Jun; 453(7198):1086-9. PubMed ID: 18563161
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Tensile-strained nanoscale Ge/In0.16Ga0.84As heterostructure for tunnel field-effect transistor.
    Zhu Y; Maurya D; Priya S; Hudait MK
    ACS Appl Mater Interfaces; 2014 Apr; 6(7):4947-53. PubMed ID: 24635912
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Using a <670> zone axis for convergent beam electron diffraction measurements of lattice strain in strained silicon.
    Diercks DR; Kaufman MJ; Irwin RB; Jain A; Robertson L; Weijtmans JW; Wise R
    J Microsc; 2010 Aug; 239(2):154-8. PubMed ID: 20629920
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Effect of sample bending on diffracted intensities observed in CBED patterns of plan view strained samples.
    Houdellier F; Jacob D; Casanove MJ; Roucau C
    Ultramicroscopy; 2008 Mar; 108(4):295-301. PubMed ID: 17544215
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Optimized FIB silicon samples suitable for lattice parameters measurements by convergent beam electron diffraction.
    Alexandre L; Rousseau K; Alfonso C; Saikaly W; Fares L; Grosjean C; Charaï A
    Micron; 2008; 39(3):294-301. PubMed ID: 17346978
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Quantitative strain and compositional studies of InxGa1-xAs Epilayer in a GaAs-based pHEMT device structure by TEM techniques.
    Sridhara Rao DV; Sankarasubramanian R; Muraleedharan K; Mehrtens T; Rosenauer A; Banerjee D
    Microsc Microanal; 2014 Aug; 20(4):1262-70. PubMed ID: 24758870
    [TBL] [Abstract][Full Text] [Related]  

  • 11. High-accuracy analysis of nanoscale semiconductor layers using beam-exit ar-ion polishing and scanning probe microscopy.
    Robson AJ; Grishin I; Young RJ; Sanchez AM; Kolosov OV; Hayne M
    ACS Appl Mater Interfaces; 2013 Apr; 5(8):3241-5. PubMed ID: 23528037
    [TBL] [Abstract][Full Text] [Related]  

  • 12. New approach to local anodic oxidation of semiconductor heterostructures.
    Martaus J; Gregusová D; Cambel V; Kúdela R; Soltýs J
    Ultramicroscopy; 2008 Sep; 108(10):1086-9. PubMed ID: 18555609
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Imaging and analysis of nanowires.
    Bell DC; Wu Y; Barrelet CJ; Gradecak S; Xiang J; Timko BP; Lieber CM
    Microsc Res Tech; 2004 Aug; 64(5-6):373-89. PubMed ID: 15549698
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Diffraction contrast near heterostructure boundaries--its nature and its application.
    Bangert U; Harvey AJ
    Microsc Res Tech; 1993 Mar; 24(4):288-98. PubMed ID: 8513172
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Large area and depth-profiling dislocation imaging and strain analysis in Si/SiGe/Si heterostructures.
    Chen X; Zuo D; Kim S; Mabon J; Sardela M; Wen J; Zuo JM
    Microsc Microanal; 2014 Oct; 20(5):1521-7. PubMed ID: 25158752
    [TBL] [Abstract][Full Text] [Related]  

  • 16. High resolution grazing-incidence in-plane x-ray diffraction for measuring the strain of a Si thin layer.
    Omote K
    J Phys Condens Matter; 2010 Dec; 22(47):474004. PubMed ID: 21386611
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Optimization of NBED simulations for disc-detection measurements.
    Grieb T; Krause FF; Mahr C; Zillmann D; Müller-Caspary K; Schowalter M; Rosenauer A
    Ultramicroscopy; 2017 Oct; 181():50-60. PubMed ID: 28500987
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Method for determination of the displacement field in patterned nanostructures by TEM/CBED analysis of split high-order Laue zone line profiles.
    Spessot A; Frabboni S; Balboni R; Armigliato A
    J Microsc; 2007 May; 226(Pt 2):140-55. PubMed ID: 17444943
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Composition analysis of semiconductor quantum wells by energy filtered convergent-beam electron diffraction.
    Jacob D; Zuo JM; Lefebvre A; Cordier Y
    Ultramicroscopy; 2008 Mar; 108(4):358-66. PubMed ID: 17630214
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Position averaged convergent beam electron diffraction: theory and applications.
    Lebeau JM; Findlay SD; Allen LJ; Stemmer S
    Ultramicroscopy; 2010 Jan; 110(2):118-25. PubMed ID: 19939565
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 7.