These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

203 related articles for article (PubMed ID: 24000358)

  • 1. Pattern transfer using block copolymers.
    Gu X; Gunkel I; Russell TP
    Philos Trans A Math Phys Eng Sci; 2013 Oct; 371(2000):20120306. PubMed ID: 24000358
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers.
    Park SM; Liang X; Harteneck BD; Pick TE; Hiroshiba N; Wu Y; Helms BA; Olynick DL
    ACS Nano; 2011 Nov; 5(11):8523-31. PubMed ID: 21995511
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography.
    Yang GW; Wu GP; Chen X; Xiong S; Arges CG; Ji S; Nealey PF; Lu XB; Darensbourg DJ; Xu ZK
    Nano Lett; 2017 Feb; 17(2):1233-1239. PubMed ID: 28068100
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing.
    Xiong S; Wan L; Ishida Y; Chapuis YA; Craig GS; Ruiz R; Nealey PF
    ACS Nano; 2016 Aug; 10(8):7855-65. PubMed ID: 27482932
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Carbohydrate-based block copolymer systems: directed self-assembly for nanolithography applications.
    Otsuka I; Nilsson N; Suyatin DB; Maximov I; Borsali R
    Soft Matter; 2017 Oct; 13(40):7406-7411. PubMed ID: 28959807
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Pulsed transfer etching of PS-PDMS block copolymers self-assembled in 193 nm lithography stacks.
    Girardot C; Böhme S; Archambault S; Salaün M; Latu-Romain E; Cunge G; Joubert O; Zelsmann M
    ACS Appl Mater Interfaces; 2014 Sep; 6(18):16276-82. PubMed ID: 25111901
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Deep-nanoscale pattern engineering by immersion-induced self-assembly.
    Park WI; Kim JM; Jeong JW; Jung YS
    ACS Nano; 2014 Oct; 8(10):10009-18. PubMed ID: 25302533
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Aligned nanowires and nanodots by directed block copolymer assembly.
    Xiao S; Yang X; Lee KY; ver der Veerdonk RJ; Kuo D; Russell TP
    Nanotechnology; 2011 Jul; 22(30):305302. PubMed ID: 21697581
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Orientation Control in Thin Films of a High-χ Block Copolymer with a Surface Active Embedded Neutral Layer.
    Zhang J; Clark MB; Wu C; Li M; Trefonas P; Hustad PD
    Nano Lett; 2016 Jan; 16(1):728-35. PubMed ID: 26682931
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media.
    Yang X; Xiao S; Hu W; Hwu J; van de Veerdonk R; Wago K; Lee K; Kuo D
    Nanotechnology; 2014 Oct; 25(39):395301. PubMed ID: 25189432
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Path to Move Beyond the Resolution Limit with Directed Self-Assembly.
    Wan L; Ruiz R
    ACS Appl Mater Interfaces; 2019 Jun; 11(22):20333-20340. PubMed ID: 31074615
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST.
    Cushen J; Wan L; Blachut G; Maher MJ; Albrecht TR; Ellison CJ; Willson CG; Ruiz R
    ACS Appl Mater Interfaces; 2015 Jun; 7(24):13476-83. PubMed ID: 26004013
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Stochastic simulation studies of line-edge roughness in block copolymer lithography.
    Kim SK
    J Nanosci Nanotechnol; 2014 Aug; 14(8):6143-5. PubMed ID: 25936074
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Enhancing the Directed Self-assembly Kinetics of Block Copolymers Using Binary Solvent Mixtures.
    Park WI; Choi YJ; Yun JM; Hong SW; Jung YS; Kim KH
    ACS Appl Mater Interfaces; 2015 Nov; 7(46):25843-50. PubMed ID: 26517005
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Self-Registered Self-Assembly of Block Copolymers.
    Wan L; Ruiz R; Gao H; Albrecht TR
    ACS Nano; 2017 Aug; 11(8):7666-7673. PubMed ID: 28714668
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Straightforward Integration Flow of a Silicon-Containing Block Copolymer for Line-Space Patterning.
    Legrain A; Fleury G; Mumtaz M; Navarro C; Arias-Zapata J; Chevalier X; Cayrefourcq I; Zelsmann M
    ACS Appl Mater Interfaces; 2017 Dec; 9(49):43043-43050. PubMed ID: 29182294
    [TBL] [Abstract][Full Text] [Related]  

  • 17. X-ray characterization of contact holes for block copolymer lithography.
    Sunday DF; Delachat F; Gharbi A; Freychet G; Liman CD; Tiron R; Kline RJ
    J Appl Crystallogr; 2019; 52(1):. PubMed ID: 33033414
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Effect of film thickness and domain spacing on defect densities in directed self-assembly of cylindrical morphology block copolymers.
    Mishra V; Fredrickson GH; Kramer EJ
    ACS Nano; 2012 Mar; 6(3):2629-41. PubMed ID: 22339501
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Lithographically Defined Cross-Linkable Top Coats for Nanomanufacturing with High-χ Block Copolymers.
    Chevalier X; Gomes Correia C; Pound-Lana G; Bézard P; Sérégé M; Petit-Etienne C; Gay G; Cunge G; Cabannes-Boué B; Nicolet C; Navarro C; Cayrefourcq I; Müller M; Hadziioannou G; Iliopoulos I; Fleury G; Zelsmann M
    ACS Appl Mater Interfaces; 2021 Mar; 13(9):11224-11236. PubMed ID: 33621463
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Combined epitaxial self-assembly of block copolymer lamellae on a hexagonal pre-pattern within microgrooves.
    Jung H; Woo S; Park S; Lee S; Kang M; Choe Y; Son JG; Ryu du Y; Huh J; Bang J
    Soft Matter; 2015 Jun; 11(21):4242-50. PubMed ID: 25894536
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 11.