These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

102 related articles for article (PubMed ID: 25606779)

  • 1. Advances in lithography: introduction to the feature.
    Erdmann A; Liang R; Sezginer A; Smith B
    J Opt Soc Am A Opt Image Sci Vis; 2014 Dec; 31(12):LI1-2. PubMed ID: 25606779
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Advances in lithography: introduction to the feature.
    Erdmann A; Liang R; Sezginer A; Smith B
    Appl Opt; 2014 Dec; 53(34):LI1-2. PubMed ID: 25607978
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Robust source and mask optimization compensating for mask topography effects in computational lithography.
    Li J; Lam EY
    Opt Express; 2014 Apr; 22(8):9471-85. PubMed ID: 24787836
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Mask optimization approaches in optical lithography based on a vector imaging model.
    Ma X; Li Y; Dong L
    J Opt Soc Am A Opt Image Sci Vis; 2012 Jul; 29(7):1300-12. PubMed ID: 22751396
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Pushing the limits of lithography.
    Ito T; Okazaki S
    Nature; 2000 Aug; 406(6799):1027-31. PubMed ID: 10984061
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Vectorial pupil optimization to compensate polarization distortion in immersion lithography system.
    Li T; Liu Y; Sun Y; Yan X; Wei P; Li Y
    Opt Express; 2020 Feb; 28(4):4412-4425. PubMed ID: 32121678
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Pixelated source mask optimization for process robustness in optical lithography.
    Jia N; Lam EY
    Opt Express; 2011 Sep; 19(20):19384-98. PubMed ID: 21996879
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Single spherical mirror optic for extreme ultraviolet lithography enabled by inverse lithography technology.
    Scranton G; Bhargava S; Ganapati V; Yablonovitch E
    Opt Express; 2014 Oct; 22(21):25027-42. PubMed ID: 25401536
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Nonlinear compressive inverse lithography aided by low-rank regularization.
    Ma X; Wang Z; Zhu J; Zhang S; Arce GR; Zhao S
    Opt Express; 2019 Oct; 27(21):29992-30008. PubMed ID: 31684254
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Lithography for enabling advances in integrated circuits and devices.
    Garner CM
    Philos Trans A Math Phys Eng Sci; 2012 Aug; 370(1973):4015-41. PubMed ID: 22802500
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography.
    Sears MK; Bekaert J; Smith BW
    Appl Opt; 2013 Jan; 52(3):314-22. PubMed ID: 23338176
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Multiple-field-point pupil wavefront optimization in computational lithography.
    Li T; Liu Y; Sun Y; Li E; Wei P; Li Y
    Appl Opt; 2019 Oct; 58(30):8331-8338. PubMed ID: 31674509
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Gradient-based source and mask optimization in optical lithography.
    Peng Y; Zhang J; Wang Y; Yu Z
    IEEE Trans Image Process; 2011 Oct; 20(10):2856-64. PubMed ID: 21435976
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Sparse nonlinear inverse imaging for shot count reduction in inverse lithography.
    Wu X; Liu S; Lv W; Lam EY
    Opt Express; 2015 Oct; 23(21):26919-31. PubMed ID: 26480353
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Inverse lithography physics-informed deep neural level set for mask optimization.
    Ma XY; Hao S
    Appl Opt; 2023 Nov; 62(33):8769-8779. PubMed ID: 38038022
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Generalized inverse lithography methods for phase-shifting mask design.
    Ma X; Arce GR
    Opt Express; 2007 Nov; 15(23):15066-79. PubMed ID: 19550790
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Efficient informatics-based source and mask optimization for optical lithography.
    Pan Y; Ma X; Zhang S; Garcia-Frias J; Arce GR
    Appl Opt; 2021 Sep; 60(27):8307-8315. PubMed ID: 34612927
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Informatics-based computational lithography for phase-shifting mask optimization.
    Pan Y; Ma X
    Opt Express; 2022 Jun; 30(12):21282-21294. PubMed ID: 36224851
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Pixel-based OPC optimization based on conjugate gradients.
    Ma X; Arce GR
    Opt Express; 2011 Jan; 19(3):2165-80. PubMed ID: 21369034
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Inverse pupil wavefront optimization for immersion lithography.
    Han C; Li Y; Dong L; Ma X; Guo X
    Appl Opt; 2014 Oct; 53(29):6861-71. PubMed ID: 25322394
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 6.