BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

821 related articles for article (PubMed ID: 25611328)

  • 1. Photopatterning of cross-linkable epoxide-functionalized block copolymers and dual-tone nanostructure development for fabrication across the nano- and microscales.
    He C; Stoykovich MP
    Small; 2015 May; 11(20):2407-16. PubMed ID: 25611328
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Self-assembled phases of block copolymer blend thin films.
    Yager KG; Lai E; Black CT
    ACS Nano; 2014 Oct; 8(10):10582-8. PubMed ID: 25285733
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications.
    Cushen JD; Otsuka I; Bates CM; Halila S; Fort S; Rochas C; Easley JA; Rausch EL; Thio A; Borsali R; Willson CG; Ellison CJ
    ACS Nano; 2012 Apr; 6(4):3424-33. PubMed ID: 22456229
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Nanoporous Thin Films and Binary Nanoparticle Superlattices Created by Directed Self-Assembly of Block Copolymer Hybrid Materials.
    Pietsch T; Müller-Buschbaum P; Mahltig B; Fahmi A
    ACS Appl Mater Interfaces; 2015 Jun; 7(23):12440-9. PubMed ID: 25647185
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Controlling the Pore Size of Mesoporous Carbon Thin Films through Thermal and Solvent Annealing.
    Zhou Z; Liu G
    Small; 2017 Apr; 13(15):. PubMed ID: 28151575
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Three-dimensional multilayered nanostructures with controlled orientation of microdomains from cross-linkable block copolymers.
    Jung H; Hwang D; Kim E; Kim BJ; Lee WB; Poelma JE; Kim J; Hawker CJ; Huh J; Ryu du Y; Bang J
    ACS Nano; 2011 Aug; 5(8):6164-73. PubMed ID: 21749153
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Selective area control of self-assembled pattern architecture using a lithographically patternable block copolymer.
    Bosworth JK; Black CT; Ober CK
    ACS Nano; 2009 Jul; 3(7):1761-6. PubMed ID: 19534477
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Morphology of thin nanocomposite films of asymmetric diblock copolymer and magnetite nanoparticles.
    Lauter V; Müller-Buschbaum P; Lauter H; Petry W
    J Phys Condens Matter; 2011 Jun; 23(25):254215. PubMed ID: 21654048
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Fast assembly of ordered block copolymer nanostructures through microwave annealing.
    Zhang X; Harris KD; Wu NL; Murphy JN; Buriak JM
    ACS Nano; 2010 Nov; 4(11):7021-9. PubMed ID: 20964379
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Robust control of microdomain orientation in thin films of block copolymers by zone casting.
    Tang C; Wu W; Smilgies DM; Matyjaszewski K; Kowalewski T
    J Am Chem Soc; 2011 Aug; 133(30):11802-9. PubMed ID: 21707027
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Synthesis and dissipative particle dynamics simulation of cross-linkable fluorinated diblock copolymers: self-assembly aggregation behavior in different solvents.
    Lin SL; Wen XF; Cai ZQ; Pi PH; Zheng DF; Cheng J; Zhang LJ; Qian Y; Yang ZR
    Phys Chem Chem Phys; 2011 Oct; 13(38):17323-32. PubMed ID: 21887419
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Negative-tone block copolymer lithography by in situ surface chemical modification.
    Kim BH; Byeon KJ; Kim JY; Kim J; Jin HM; Cho JY; Jeong SJ; Shin J; Lee H; Kim SO
    Small; 2014 Oct; 10(20):4207-12. PubMed ID: 24912807
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Directed self-assembly of cylinder-forming diblock copolymers on sparse chemical patterns.
    Yang YB; Choi YJ; Kim SO; Kim JU
    Soft Matter; 2015 Jun; 11(22):4496-506. PubMed ID: 25947222
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Rapid thermal processing of self-assembling block copolymer thin films.
    Ferrarese Lupi F; Giammaria TJ; Ceresoli M; Seguini G; Sparnacci K; Antonioli D; Gianotti V; Laus M; Perego M
    Nanotechnology; 2013 Aug; 24(31):315601. PubMed ID: 23851718
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Highly asymmetric lamellar nanopatterns via block copolymer blends capable of hydrogen bonding.
    Han SH; Pryamitsyn V; Bae D; Kwak J; Ganesan V; Kim JK
    ACS Nano; 2012 Sep; 6(9):7966-72. PubMed ID: 22906182
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Solvent-induced novel morphologies in diblock copolymer blend thin films.
    Chen Y; Wang Z; Gong Y; Huang H; He T
    J Phys Chem B; 2006 Feb; 110(4):1647-55. PubMed ID: 16471728
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Assembly of sub-10-nm block copolymer patterns with mixed morphology and period using electron irradiation and solvent annealing.
    Son JG; Chang JB; Berggren KK; Ross CA
    Nano Lett; 2011 Nov; 11(11):5079-84. PubMed ID: 21992516
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Rapid Ordering in "Wet Brush" Block Copolymer/Homopolymer Ternary Blends.
    Doerk GS; Yager KG
    ACS Nano; 2017 Dec; 11(12):12326-12336. PubMed ID: 29195046
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Lamellar orientation in thin films of symmetric semicrytalline polystyrene-b-poly(ethylene-co-butene) block copolymers: effects of molar mass, temperature of solvent evaporation, and annealing.
    Liang GD; Xu JT; Fan ZQ
    J Phys Chem B; 2007 Oct; 111(41):11921-8. PubMed ID: 17927273
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Generating suspended single-walled carbon nanotubes across a large surface area via patterning self-assembled catalyst-containing block copolymer thin films.
    Lu J; Kopley T; Dutton D; Liu J; Qian C; Son H; Dresselhaus M; Kong J
    J Phys Chem B; 2006 Jun; 110(22):10585-9. PubMed ID: 16771301
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 42.