These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

224 related articles for article (PubMed ID: 26079187)

  • 1. Resist Materials for Extreme Ultraviolet Lithography: Toward Low-Cost Single-Digit-Nanometer Patterning.
    Ashby PD; Olynick DL; Ogletree DF; Naulleau PP
    Adv Mater; 2015 Oct; 27(38):5813-9. PubMed ID: 26079187
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Line-Edge Roughness Stochastics for 5-nm Pattern Formation in the Extreme Ultraviolet Lithography.
    Kim SK
    J Nanosci Nanotechnol; 2019 Aug; 19(8):4657-4660. PubMed ID: 30913764
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Extreme ultraviolet lithography reaches 5 nm resolution.
    Giannopoulos I; Mochi I; Vockenhuber M; Ekinci Y; Kazazis D
    Nanoscale; 2024 Aug; 16(33):15533-15543. PubMed ID: 39133026
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Line-Edge Roughness from Extreme Ultraviolet Lithography to Fin-Field-Effect-Transistor: Computational Study.
    Kim SK
    Micromachines (Basel); 2021 Nov; 12(12):. PubMed ID: 34945342
    [TBL] [Abstract][Full Text] [Related]  

  • 5. High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results.
    Manouras T; Argitis P
    Nanomaterials (Basel); 2020 Aug; 10(8):. PubMed ID: 32823865
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Extreme ultraviolet resist materials for sub-7 nm patterning.
    Li L; Liu X; Pal S; Wang S; Ober CK; Giannelis EP
    Chem Soc Rev; 2017 Aug; 46(16):4855-4866. PubMed ID: 28650497
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Beyond EUV lithography: a comparative study of efficient photoresists' performance.
    Mojarad N; Gobrecht J; Ekinci Y
    Sci Rep; 2015 Mar; 5():9235. PubMed ID: 25783209
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Line edge roughness of a latent image in post-optical lithography.
    Saeki A; Kozawa T; Tagawa S; Cao HB
    Nanotechnology; 2006 Mar; 17(6):1543-6. PubMed ID: 26558555
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Key Role of Very Low Energy Electrons in Tin-Based Molecular Resists for Extreme Ultraviolet Nanolithography.
    Bespalov I; Zhang Y; Haitjema J; Tromp RM; van der Molen SJ; Brouwer AM; Jobst J; Castellanos S
    ACS Appl Mater Interfaces; 2020 Feb; 12(8):9881-9889. PubMed ID: 32019303
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Sulfonium-Functionalized Polystyrene-Based Nonchemically Amplified Resists Enabling Sub-13 nm Nanolithography.
    Wang Z; Chen J; Yu T; Zeng Y; Guo X; Wang S; Allenet T; Vockenhuber M; Ekinci Y; Yang G; Li Y
    ACS Appl Mater Interfaces; 2023 Jan; 15(1):2289-2300. PubMed ID: 36578201
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Dielectric Response Spectroscopy as Means to Investigate Interfacial Effects for Ultra-Thin Film Polymer-Based High NA EUV Lithography.
    Severi J; De Simone D; De Gendt S
    Polymers (Basel); 2020 Dec; 12(12):. PubMed ID: 33322737
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Extreme ultra-violet resists development concepts and performances.
    Lee JW; Kim J; Kim J
    J Nanosci Nanotechnol; 2012 Apr; 12(4):3256-8. PubMed ID: 22849100
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Patterning of self-assembled pentacene nanolayers by extreme ultraviolet-induced three-dimensional polymerization.
    Jee HG; Hwang HN; Han JH; Lim J; Shin HJ; Kim YD; Solak HH; Hwang CC
    ACS Nano; 2010 Sep; 4(9):4997-5002. PubMed ID: 20815364
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Resistless EUV lithography: Photon-induced oxide patterning on silicon.
    Tseng LT; Karadan P; Kazazis D; Constantinou PC; Stock TJZ; Curson NJ; Schofield SR; Muntwiler M; Aeppli G; Ekinci Y
    Sci Adv; 2023 Apr; 9(16):eadf5997. PubMed ID: 37075116
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Molecular Glass Resists Based on Tetraphenylsilane Derivatives: Effect of Protecting Ratios on Advanced Lithography.
    Wang Y; Chen J; Zeng Y; Yu T; Guo X; Wang S; Allenet T; Vockenhuber M; Ekinci Y; Zhao J; Yang S; Wu Y; Yang G; Li Y
    ACS Omega; 2022 Aug; 7(33):29266-29273. PubMed ID: 36033723
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Synthesis of End-Cap Enabled Self-Immolative Photoresists For Extreme Ultraviolet Lithography.
    Deng J; Bailey S; Ai R; Delmonico A; Denbeaux G; Jiang S; Ober CK
    ACS Macro Lett; 2022 Sep; 11(9):1049-1054. PubMed ID: 35948019
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Reduction of EUV resist damage by neutral beam etching.
    Kim GW; Chang WJ; Kang JE; Kim HJ; Yeom GY
    Nanotechnology; 2021 Dec; 33(9):. PubMed ID: 34808609
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Design and performance of EUV resist containing photoacid generator for sub-100 nm lithography.
    Thiyagarajan M; Gonsalves KE; Dean K; Sykes CH
    J Nanosci Nanotechnol; 2005 Jul; 5(7):1181-3. PubMed ID: 16108447
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Fluorescent Labeling to Investigate Nanopatterning Processes in Extreme Ultraviolet Lithography.
    Wu L; Hilbers MF; Lugier O; Thakur N; Vockenhuber M; Ekinci Y; Brouwer AM; Castellanos S
    ACS Appl Mater Interfaces; 2021 Nov; 13(43):51790-51798. PubMed ID: 34669380
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art.
    Grigorescu AE; Hagen CW
    Nanotechnology; 2009 Jul; 20(29):292001. PubMed ID: 19567961
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 12.