These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

119 related articles for article (PubMed ID: 26480353)

  • 41. Fast convolution method and its application in mask optimization for intensity calculation using basis expansion.
    Sun Y; Zhang J; Wang Y; Yu Z
    IEEE Trans Image Process; 2014 Dec; 23(12):4982-95. PubMed ID: 25265610
    [TBL] [Abstract][Full Text] [Related]  

  • 42. Information theoretical approaches in computational lithography.
    Wang Z; Ma X; Arce GR; Garcia-Frias J
    Opt Express; 2018 Jun; 26(13):16736-16751. PubMed ID: 30119496
    [TBL] [Abstract][Full Text] [Related]  

  • 43. Implicit function characterization of the curvilinear mask to realize parametric optical proximity correction with a neighborhood parallel tabu search.
    Wei P; Li Y; Li Z; Yuan M; Yang H
    Appl Opt; 2023 Jun; 62(18):4848-4859. PubMed ID: 37707260
    [TBL] [Abstract][Full Text] [Related]  

  • 44. Preparation of high-quality colloidal mask for nanosphere lithography by a combination of air/water interface self-assembly and solvent vapor annealing.
    Yu J; Geng C; Zheng L; Ma Z; Tan T; Wang X; Yan Q; Shen D
    Langmuir; 2012 Aug; 28(34):12681-9. PubMed ID: 22894745
    [TBL] [Abstract][Full Text] [Related]  

  • 45. 300 mm Large Area Wire Grid Polarizers with 50 nm Half-Pitch by ArF Immersion Lithography.
    Song J; Oh JS; Bak MJ; Kang IS; Lee SJ; Lee GW
    Nanomaterials (Basel); 2022 Jan; 12(3):. PubMed ID: 35159824
    [TBL] [Abstract][Full Text] [Related]  

  • 46. Colloidal lithography--the art of nanochemical patterning.
    Zhang G; Wang D
    Chem Asian J; 2009 Feb; 4(2):236-45. PubMed ID: 18988237
    [TBL] [Abstract][Full Text] [Related]  

  • 47. Aberration optimization in an extreme ultraviolet lithography projector via a BP neural network and simulated annealing algorithm.
    Zhao R; Dong L; Chen R; Wei Y
    Appl Opt; 2021 Feb; 60(5):1341-1348. PubMed ID: 33690577
    [TBL] [Abstract][Full Text] [Related]  

  • 48. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling.
    Zhang Z; Li S; Wang X; Cheng W
    Opt Express; 2021 Jul; 29(14):22778-22795. PubMed ID: 34266033
    [TBL] [Abstract][Full Text] [Related]  

  • 49. Real-time dose control for electron-beam lithography.
    Yang-Keathley Y; Maloney SA; Hastings JT
    Nanotechnology; 2021 Feb; 32(9):095302. PubMed ID: 33197908
    [TBL] [Abstract][Full Text] [Related]  

  • 50. Photonic band-gap formation by optical-phase-mask lithography.
    Chan TY; Toader O; John S
    Phys Rev E Stat Nonlin Soft Matter Phys; 2006 Apr; 73(4 Pt 2):046610. PubMed ID: 16711945
    [TBL] [Abstract][Full Text] [Related]  

  • 51. Intensity modulation based optical proximity optimization for the maskless lithography.
    Liu J; Liu J; Deng Q; Feng J; Zhou S; Hu S
    Opt Express; 2020 Jan; 28(1):548-557. PubMed ID: 32118980
    [TBL] [Abstract][Full Text] [Related]  

  • 52. Efficient IMRT inverse planning with a new L1-solver: template for first-order conic solver.
    Kim H; Suh TS; Lee R; Xing L; Li R
    Phys Med Biol; 2012 Jul; 57(13):4139-53. PubMed ID: 22683930
    [TBL] [Abstract][Full Text] [Related]  

  • 53. Lithography-defect-driven source-mask optimization solution for full-chip optical proximity correction.
    Peng A; Hsu SD; Howell RC; Li Q
    Appl Opt; 2021 Jan; 60(3):616-620. PubMed ID: 33690442
    [TBL] [Abstract][Full Text] [Related]  

  • 54. Advanced mask aligner lithography: new illumination system.
    Voelkel R; Vogler U; Bich A; Pernet P; Weible KJ; Hornung M; Zoberbier R; Cullmann E; Stuerzebecher L; Harzendorf T; Zeitner UD
    Opt Express; 2010 Sep; 18(20):20968-78. PubMed ID: 20940992
    [TBL] [Abstract][Full Text] [Related]  

  • 55. Robust source and mask optimization compensating for mask topography effects in computational lithography.
    Li J; Lam EY
    Opt Express; 2014 Apr; 22(8):9471-85. PubMed ID: 24787836
    [TBL] [Abstract][Full Text] [Related]  

  • 56. Efficient informatics-based source and mask optimization for optical lithography.
    Pan Y; Ma X; Zhang S; Garcia-Frias J; Arce GR
    Appl Opt; 2021 Sep; 60(27):8307-8315. PubMed ID: 34612927
    [TBL] [Abstract][Full Text] [Related]  

  • 57. Integral equation analysis and optimization of 2D layered nanolithography masks by complex images Green's function technique in TM polarization.
    Haghtalab M; Faraji-Dana R
    J Opt Soc Am A Opt Image Sci Vis; 2012 May; 29(5):748-56. PubMed ID: 22561933
    [TBL] [Abstract][Full Text] [Related]  

  • 58. Label-free neural networks-based inverse lithography technology.
    Chen JT; Zhao YY; Zhang Y; Zhu JX; Duan XM
    Opt Express; 2022 Dec; 30(25):45312-45326. PubMed ID: 36522939
    [TBL] [Abstract][Full Text] [Related]  

  • 59. 3-D patterning of silicon by laser-initiated, liquid-assisted colloidal (LILAC) lithography.
    Ulmeanu M; Grubb MP; Jipa F; Quignon B; Ashfold MN
    J Colloid Interface Sci; 2015 Jun; 447():258-62. PubMed ID: 25465198
    [TBL] [Abstract][Full Text] [Related]  

  • 60. Mask aligner lithography using laser illumination for versatile pattern generation.
    Weichelt T; Bourgin Y; Zeitner UD
    Opt Express; 2017 Sep; 25(18):20983-20992. PubMed ID: 29041508
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 6.