These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

118 related articles for article (PubMed ID: 26726484)

  • 1. Contact Hole Shrinking of Directed Self-Assembly and Its Application Based on Simulation Approach.
    Kim SK
    J Nanosci Nanotechnol; 2015 Oct; 15(10):8183-6. PubMed ID: 26726484
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Manipulating the processing window of directed self-assembly in contact hole shrinking with binary block copolymer/homopolymer blending.
    Wu Z; Luo J; Li L; Dong Q; Zhang X; Li Z; Liu Y; Ji S; Li W; Zhang Y; Xiong S
    iScience; 2024 Apr; 27(4):109425. PubMed ID: 38551004
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Focused solar annealing for block copolymer fast self-assembly.
    Hu XH; Zhang R; Zhang X; Wu Z; Zhou J; Li W; Xiong S
    Heliyon; 2024 Jan; 10(2):e24016. PubMed ID: 38293481
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Path to Move Beyond the Resolution Limit with Directed Self-Assembly.
    Wan L; Ruiz R
    ACS Appl Mater Interfaces; 2019 Jun; 11(22):20333-20340. PubMed ID: 31074615
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Directed self-assembly lithography and its application based on simulation approach.
    Kim SK
    J Nanosci Nanotechnol; 2012 Apr; 12(4):3412-6. PubMed ID: 22849135
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography.
    Yang GW; Wu GP; Chen X; Xiong S; Arges CG; Ji S; Nealey PF; Lu XB; Darensbourg DJ; Xu ZK
    Nano Lett; 2017 Feb; 17(2):1233-1239. PubMed ID: 28068100
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Prediction of Electric Field Effects on Defect-Free Self-Assembled Nano-Patterning of Block Copolymer.
    Kim SK
    J Nanosci Nanotechnol; 2016 Mar; 16(3):2706-9. PubMed ID: 27455694
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Modeling and simulation of patterning diblock copolymers through nanoimprint lithography.
    Kim SK
    J Nanosci Nanotechnol; 2014 Aug; 14(8):6065-8. PubMed ID: 25936058
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Thermal scanning probe lithography for the directed self-assembly of block copolymers.
    Gottlieb S; Lorenzoni M; Evangelio L; Fernández-Regúlez M; Ryu YK; Rawlings C; Spieser M; Knoll AW; Perez-Murano F
    Nanotechnology; 2017 Apr; 28(17):175301. PubMed ID: 28374684
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Deep-nanoscale pattern engineering by immersion-induced self-assembly.
    Park WI; Kim JM; Jeong JW; Jung YS
    ACS Nano; 2014 Oct; 8(10):10009-18. PubMed ID: 25302533
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing.
    Xiong S; Wan L; Ishida Y; Chapuis YA; Craig GS; Ruiz R; Nealey PF
    ACS Nano; 2016 Aug; 10(8):7855-65. PubMed ID: 27482932
    [TBL] [Abstract][Full Text] [Related]  

  • 12. A general design strategy for block copolymer directed self-assembly patterning of integrated circuits contact holes using an alphabet approach.
    Yi H; Bao XY; Tiberio R; Wong HS
    Nano Lett; 2015 Feb; 15(2):805-12. PubMed ID: 25551471
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Stochastic simulation studies of line-edge roughness in block copolymer lithography.
    Kim SK
    J Nanosci Nanotechnol; 2014 Aug; 14(8):6143-5. PubMed ID: 25936074
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Pattern transfer using block copolymers.
    Gu X; Gunkel I; Russell TP
    Philos Trans A Math Phys Eng Sci; 2013 Oct; 371(2000):20120306. PubMed ID: 24000358
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers.
    Park SM; Liang X; Harteneck BD; Pick TE; Hiroshiba N; Wu Y; Helms BA; Olynick DL
    ACS Nano; 2011 Nov; 5(11):8523-31. PubMed ID: 21995511
    [TBL] [Abstract][Full Text] [Related]  

  • 16. X-ray characterization of contact holes for block copolymer lithography.
    Sunday DF; Delachat F; Gharbi A; Freychet G; Liman CD; Tiron R; Kline RJ
    J Appl Crystallogr; 2019; 52(1):. PubMed ID: 33033414
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media.
    Yang X; Xiao S; Hu W; Hwu J; van de Veerdonk R; Wago K; Lee K; Kuo D
    Nanotechnology; 2014 Oct; 25(39):395301. PubMed ID: 25189432
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Engineering the Kinetics of Directed Self-Assembly of Block Copolymers toward Fast and Defect-Free Assembly.
    Ren J; Zhou C; Chen X; Dolejsi M; Craig GSW; Rincon Delgadillo PA; Segal-Peretz T; Nealey PF
    ACS Appl Mater Interfaces; 2018 Jul; 10(27):23414-23423. PubMed ID: 29878751
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Self-Registered Self-Assembly of Block Copolymers.
    Wan L; Ruiz R; Gao H; Albrecht TR
    ACS Nano; 2017 Aug; 11(8):7666-7673. PubMed ID: 28714668
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Selective directed self-assembly of coexisting morphologies using block copolymer blends.
    Stein A; Wright G; Yager KG; Doerk GS; Black CT
    Nat Commun; 2016 Aug; 7():12366. PubMed ID: 27480327
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 6.