These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

241 related articles for article (PubMed ID: 27216015)

  • 1. Patterning at the 10 nanometer length scale using a strongly segregating block copolymer thin film and vapor phase infiltration of inorganic precursors.
    Choi JW; Li Z; Black CT; Sweat DP; Wang X; Gopalan P
    Nanoscale; 2016 Jun; 8(22):11595-601. PubMed ID: 27216015
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Pulsed transfer etching of PS-PDMS block copolymers self-assembled in 193 nm lithography stacks.
    Girardot C; Böhme S; Archambault S; Salaün M; Latu-Romain E; Cunge G; Joubert O; Zelsmann M
    ACS Appl Mater Interfaces; 2014 Sep; 6(18):16276-82. PubMed ID: 25111901
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing.
    Xiong S; Wan L; Ishida Y; Chapuis YA; Craig GS; Ruiz R; Nealey PF
    ACS Nano; 2016 Aug; 10(8):7855-65. PubMed ID: 27482932
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Controlled solvent vapor annealing of a high χ block copolymer thin film.
    Lundy R; Flynn SP; Cummins C; Kelleher SM; Collins MN; Dalton E; Daniels S; Morris MA; Enright R
    Phys Chem Chem Phys; 2017 Jan; 19(4):2805-2815. PubMed ID: 28067366
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Nanowire conductive polymer gas sensor patterned using self-assembled block copolymer lithography.
    Jung YS; Jung W; Tuller HL; Ross CA
    Nano Lett; 2008 Nov; 8(11):3776-80. PubMed ID: 18954147
    [TBL] [Abstract][Full Text] [Related]  

  • 6. The One-Pot Directed Assembly of Cylinder-Forming Block Copolymer on Adjacent Chemical Patterns for Bimodal Patterning.
    Chang TH; Xiong S; Liu CC; Liu D; Nealey PF; Ma Z
    Macromol Rapid Commun; 2017 Sep; 38(18):. PubMed ID: 28749034
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Highly Ordered Porous Inorganic Structures
    Esmeraldo Paiva A; Baez Vasquez JF; Selkirk A; Prochukhan N; G L Medeiros Borsagli F; Morris M
    ACS Appl Mater Interfaces; 2022 Aug; 14(30):35265-35275. PubMed ID: 35876355
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications.
    Cushen JD; Otsuka I; Bates CM; Halila S; Fort S; Rochas C; Easley JA; Rausch EL; Thio A; Borsali R; Willson CG; Ellison CJ
    ACS Nano; 2012 Apr; 6(4):3424-33. PubMed ID: 22456229
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Hierarchical Order in Dewetted Block Copolymer Thin Films on Chemically Patterned Surfaces.
    Ferrarese Lupi F; Giammaria TJ; Miti A; Zuccheri G; Carignano S; Sparnacci K; Seguini G; De Leo N; Boarino L; Perego M; Laus M
    ACS Nano; 2018 Jul; 12(7):7076-7085. PubMed ID: 29952543
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Block Copolymer Patterning for Creating Porous Silicon Thin Films with Tunable Refractive Indices.
    Hulkkonen HH; Salminen T; Niemi T
    ACS Appl Mater Interfaces; 2017 Sep; 9(37):31260-31265. PubMed ID: 28195697
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Automated solvent vapor annealing with nanometer scale control of film swelling for block copolymer thin films.
    Hulkkonen H; Salminen T; Niemi T
    Soft Matter; 2019 Oct; 15(39):7909-7917. PubMed ID: 31538173
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Directed Self-Assembly of Poly(2-vinylpyridine)-b-polystyrene-b-poly(2-vinylpyridine) Triblock Copolymer with Sub-15 nm Spacing Line Patterns Using a Nanoimprinted Photoresist Template.
    Sun Z; Chen Z; Zhang W; Choi J; Huang C; Jeong G; Coughlin EB; Hsu Y; Yang X; Lee KY; Kuo DS; Xiao S; Russell TP
    Adv Mater; 2015 Aug; 27(29):4364-70. PubMed ID: 26088198
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Nanoscale silicon substrate patterns from self-assembly of cylinder forming poly(styrene)-block-poly(dimethylsiloxane) block copolymer on silane functionalized surfaces.
    Borah D; Cummins C; Rasappa S; Watson SM; Pike AR; Horrocks BR; Fulton DA; Houlton A; Liontos G; Ntetsikas K; Avgeropoulos A; Morris MA
    Nanotechnology; 2017 Jan; 28(4):044001. PubMed ID: 27981945
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Self-Aligned Assembly of a Poly(2-vinylpyridine)-
    Zhou J; Thapar V; Chen Y; Wu BX; Craig GSW; Nealey PF; Hur SM; Chang TH; Xiong S
    ACS Appl Mater Interfaces; 2021 Sep; 13(34):41190-41199. PubMed ID: 34470104
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application.
    Cummins C; Mokarian-Tabari P; Andreazza P; Sinturel C; Morris MA
    ACS Appl Mater Interfaces; 2016 Mar; 8(12):8295-304. PubMed ID: 26950246
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Formation of Two Kinds of Hexagonally Arranged Structures in ABC Triblock Copolymer Thin Films Induced by a Strongly Selective Solvent Vapor.
    Luo C; Huang W; Han Y
    Macromol Rapid Commun; 2009 Nov; 30(22):1917-21. PubMed ID: 21638475
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Large-scale parallel arrays of silicon nanowires via block copolymer directed self-assembly.
    Farrell RA; Kinahan NT; Hansel S; Stuen KO; Petkov N; Shaw MT; West LE; Djara V; Dunne RJ; Varona OG; Gleeson PG; Jung SJ; Kim HY; Koleśnik MM; Lutz T; Murray CP; Holmes JD; Nealey PF; Duesberg GS; Krstić V; Morris MA
    Nanoscale; 2012 May; 4(10):3228-36. PubMed ID: 22481430
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Photopatterning of cross-linkable epoxide-functionalized block copolymers and dual-tone nanostructure development for fabrication across the nano- and microscales.
    He C; Stoykovich MP
    Small; 2015 May; 11(20):2407-16. PubMed ID: 25611328
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Morphology, directed self-assembly and pattern transfer from a high molecular weight polystyrene-block-poly(dimethylsiloxane) block copolymer film.
    Cheng LC; Bai W; Fernandez Martin E; Tu KH; Ntetsikas K; Liontos G; Avgeropoulos A; Ross CA
    Nanotechnology; 2017 Apr; 28(14):145301. PubMed ID: 28221161
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Effect of film thickness and domain spacing on defect densities in directed self-assembly of cylindrical morphology block copolymers.
    Mishra V; Fredrickson GH; Kramer EJ
    ACS Nano; 2012 Mar; 6(3):2629-41. PubMed ID: 22339501
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 13.