These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

86 related articles for article (PubMed ID: 28186001)

  • 1. Observation and understanding of anisotropic strain relaxation in selectively grown SiGe fin structures.
    Schulze A; Loo R; Ryan P; Wormington M; Favia P; Witters L; Collaert N; Bender H; Vandervorst W; Caymax M
    Nanotechnology; 2017 Apr; 28(14):145703. PubMed ID: 28186001
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors.
    Wang G; Luo J; Qin C; Liang R; Xu Y; Liu J; Li J; Yin H; Yan J; Zhu H; Xu J; Zhao C; Radamson HH; Ye T
    Nanoscale Res Lett; 2017 Dec; 12(1):123. PubMed ID: 28228008
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.
    Zaumseil P; Yamamoto Y; Schubert MA; Capellini G; Skibitzki O; Zoellner MH; Schroeder T
    Nanotechnology; 2015 Sep; 26(35):355707. PubMed ID: 26267559
    [TBL] [Abstract][Full Text] [Related]  

  • 4. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.
    Zaumseil P; Kozlowski G; Schubert MA; Yamamoto Y; Bauer J; Schülli TU; Tillack B; Schroeder T
    Nanotechnology; 2012 Sep; 23(35):355706. PubMed ID: 22894894
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Tomographic Mapping Analysis in the Depth Direction of High-Ge-Content SiGe Layers with Compositionally Graded Buffers Using Nanobeam X-ray Diffraction.
    Shida K; Takeuchi S; Imai Y; Kimura S; Schulze A; Caymax M; Sakai A
    ACS Appl Mater Interfaces; 2017 Apr; 9(15):13726-13732. PubMed ID: 28353333
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Structural Mapping of Functional Ge Layers Grown on Graded SiGe Buffers for sub-10 nm CMOS Applications Using Advanced X-ray Nanodiffraction.
    Richard MI; Zoellner MH; Chahine GA; Zaumseil P; Capellini G; Häberlen M; Storck P; Schülli TU; Schroeder T
    ACS Appl Mater Interfaces; 2015 Dec; 7(48):26696-700. PubMed ID: 26541318
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Scaling hetero-epitaxy from layers to three-dimensional crystals.
    Falub CV; von Känel H; Isa F; Bergamaschini R; Marzegalli A; Chrastina D; Isella G; Müller E; Niedermann P; Miglio L
    Science; 2012 Mar; 335(6074):1330-4. PubMed ID: 22422978
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Large area and depth-profiling dislocation imaging and strain analysis in Si/SiGe/Si heterostructures.
    Chen X; Zuo D; Kim S; Mabon J; Sardela M; Wen J; Zuo JM
    Microsc Microanal; 2014 Oct; 20(5):1521-7. PubMed ID: 25158752
    [TBL] [Abstract][Full Text] [Related]  

  • 9. SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.
    Mastari M; Charles M; Bogumilowicz Y; Thai QM; Pimenta-Barros P; Argoud M; Papon AM; Gergaud P; Landru D; Kim Y; Hartmann JM
    Nanotechnology; 2018 Jul; 29(27):275702. PubMed ID: 29648544
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Strain engineered SiGe multiple-quantum-well nanomembranes for far-infrared intersubband device applications.
    Sookchoo P; Sudradjat FF; Kiefer AM; Durmaz H; Paiella R; Lagally MG
    ACS Nano; 2013 Mar; 7(3):2326-34. PubMed ID: 23402572
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics.
    Li Y; Zhao F; Cheng X; Liu H; Zan Y; Li J; Zhang Q; Wu Z; Luo J; Wang W
    Nanomaterials (Basel); 2021 Jun; 11(7):. PubMed ID: 34203194
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Strain Modulation of Selectively and/or Globally Grown Ge Layers.
    Du Y; Wang G; Miao Y; Xu B; Li B; Kong Z; Yu J; Zhao X; Lin H; Su J; Han J; Liu J; Dong Y; Wang W; Radamson HH
    Nanomaterials (Basel); 2021 May; 11(6):. PubMed ID: 34071167
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Non-destructive characterization of extended crystalline defects in confined semiconductor device structures.
    Schulze A; Strakos L; Vystavel T; Loo R; Pacco A; Collaert N; Vandervorst W; Caymax M
    Nanoscale; 2018 Apr; 10(15):7058-7066. PubMed ID: 29616259
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Patterning-induced strain relief in single lithographic SiGe nanostructures studied by nanobeam x-ray diffraction.
    Chrastina D; Vanacore GM; Bollani M; Boye P; Schöder S; Burghammer M; Sordan R; Isella G; Zani M; Tagliaferri A
    Nanotechnology; 2012 Apr; 23(15):155702. PubMed ID: 22456306
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Imaging Structure and Composition Homogeneity of 300 mm SiGe Virtual Substrates for Advanced CMOS Applications by Scanning X-ray Diffraction Microscopy.
    Zoellner MH; Richard MI; Chahine GA; Zaumseil P; Reich C; Capellini G; Montalenti F; Marzegalli A; Xie YH; Schülli TU; Häberlen M; Storck P; Schroeder T
    ACS Appl Mater Interfaces; 2015 May; 7(17):9031-7. PubMed ID: 25871429
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Ge-rich SiGe-on-insulator for waveguide optical modulator application fabricated by Ge condensation and SiGe regrowth.
    Kim Y; Yokoyama M; Taoka N; Takenaka M; Takagi S
    Opt Express; 2013 Aug; 21(17):19615-23. PubMed ID: 24105508
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Strain relief and shape oscillations in site-controlled coherent SiGe islands.
    Hrauda N; Zhang JJ; Groiss H; Etzelstorfer T; Holý V; Bauer G; Deiter C; Seeck OH; Stangl J
    Nanotechnology; 2013 Aug; 24(33):335707. PubMed ID: 23892543
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Quantum-confined direct band transitions in tensile strained Ge/SiGe quantum wells on silicon substrates.
    Chen Y; Li C; Lai H; Chen S
    Nanotechnology; 2010 Mar; 21(11):115207. PubMed ID: 20179329
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Strain distribution in Si capping layers on SiGe islands: influence of cap thickness and footprint in reciprocal space.
    Hrauda N; Zhang JJ; Süess MJ; Wintersberger E; Holý V; Stangl J; Deiter C; Seeck OH; Bauer G
    Nanotechnology; 2012 Nov; 23(46):465705. PubMed ID: 23092941
    [TBL] [Abstract][Full Text] [Related]  

  • 20. I-Shaped SiGe Fin Tunnel Field-Effect Transistor with High
    Lee R; Lee J; Lee K; Kim S; Kim S; Kim S; Park BG
    J Nanosci Nanotechnol; 2020 Jul; 20(7):4298-4302. PubMed ID: 31968461
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 5.