These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

268 related articles for article (PubMed ID: 28346456)

  • 21. Directed Self-Assembly of Star-Block Copolymers by Topographic Nanopatterns through Nucleation and Growth Mechanism.
    Krishnan MR; Lu KY; Chiu WY; Chen IC; Lin JW; Lo TY; Georgopanos P; Avgeropoulos A; Lee MC; Ho RM
    Small; 2018 Apr; 14(16):e1704005. PubMed ID: 29573555
    [TBL] [Abstract][Full Text] [Related]  

  • 22. Aligned silicon nanofins via the directed self-assembly of PS-b-P4VP block copolymer and metal oxide enhanced pattern transfer.
    Cummins C; Gangnaik A; Kelly RA; Borah D; O'Connell J; Petkov N; Georgiev YM; Holmes JD; Morris MA
    Nanoscale; 2015 Apr; 7(15):6712-21. PubMed ID: 25798892
    [TBL] [Abstract][Full Text] [Related]  

  • 23. Self-brushing for nanopatterning: achieving perpendicular domain orientation in block copolymer thin films.
    Feng H; Chen W; Craig GSW; Rowan SJ; Nealey PF
    Nanoscale; 2024 May; 16(17):8618-8626. PubMed ID: 38606468
    [TBL] [Abstract][Full Text] [Related]  

  • 24. Directed block copolymer self-assembly implemented via surface-embedded electrets.
    Wu ML; Wang D; Wan LJ
    Nat Commun; 2016 Feb; 7():10752. PubMed ID: 26876792
    [TBL] [Abstract][Full Text] [Related]  

  • 25. Sub-10 nm nanofabrication via nanoimprint directed self-assembly of block copolymers.
    Park SM; Liang X; Harteneck BD; Pick TE; Hiroshiba N; Wu Y; Helms BA; Olynick DL
    ACS Nano; 2011 Nov; 5(11):8523-31. PubMed ID: 21995511
    [TBL] [Abstract][Full Text] [Related]  

  • 26. Path to Move Beyond the Resolution Limit with Directed Self-Assembly.
    Wan L; Ruiz R
    ACS Appl Mater Interfaces; 2019 Jun; 11(22):20333-20340. PubMed ID: 31074615
    [TBL] [Abstract][Full Text] [Related]  

  • 27. Collective behavior of block copolymer thin films within periodic topographical structures.
    Perego M; Andreozzi A; Vellei A; Ferrarese Lupi F; Seguini G
    Nanotechnology; 2013 Jun; 24(24):245301. PubMed ID: 23680847
    [TBL] [Abstract][Full Text] [Related]  

  • 28. Interfacial Energy-Controlled Top Coats for Gyroid/Cylinder Phase Transitions of Polystyrene-block-polydimethylsiloxane Block Copolymer Thin Films.
    Ryu IH; Kim YJ; Jung YS; Lim JS; Ross CA; Son JG
    ACS Appl Mater Interfaces; 2017 May; 9(20):17427-17434. PubMed ID: 28470057
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application.
    Cummins C; Mokarian-Tabari P; Andreazza P; Sinturel C; Morris MA
    ACS Appl Mater Interfaces; 2016 Mar; 8(12):8295-304. PubMed ID: 26950246
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Directed Self-Assembly of Block Copolymer Micelles onto Topographically Patterned Surface.
    Lee DE; Je NJ; Yoo SI; Lee DH
    Langmuir; 2015 Dec; 31(47):12929-36. PubMed ID: 26542455
    [TBL] [Abstract][Full Text] [Related]  

  • 31. Effect of Entrapped Solvent on the Evolution of Lateral Order in Self-Assembled P(S-r-MMA)/PS-b-PMMA Systems with Different Thicknesses.
    Giammaria TJ; Ferrarese Lupi F; Seguini G; Sparnacci K; Antonioli D; Gianotti V; Laus M; Perego M
    ACS Appl Mater Interfaces; 2017 Sep; 9(37):31215-31223. PubMed ID: 28195457
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Nanoscratch-Directed Self-Assembly of Block Copolymer Thin Films.
    Kim DH; Suh A; Park G; Yoon DK; Kim SY
    ACS Appl Mater Interfaces; 2021 Feb; 13(4):5772-5781. PubMed ID: 33472362
    [TBL] [Abstract][Full Text] [Related]  

  • 33. Contrasting Chemistry of Block Copolymer Films Controls the Dynamics of Protein Self-Assembly at the Nanoscale.
    Stel B; Gunkel I; Gu X; Russell TP; De Yoreo JJ; Lingenfelder M
    ACS Nano; 2019 Apr; 13(4):4018-4027. PubMed ID: 30917283
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media.
    Yang X; Xiao S; Hu W; Hwu J; van de Veerdonk R; Wago K; Lee K; Kuo D
    Nanotechnology; 2014 Oct; 25(39):395301. PubMed ID: 25189432
    [TBL] [Abstract][Full Text] [Related]  

  • 35. Enveloping self-assembly of carbon nanotubes at copolymer micelle cores.
    Arras MM; Schillai C; Jandt KD
    Langmuir; 2014 Dec; 30(47):14263-9. PubMed ID: 25361699
    [TBL] [Abstract][Full Text] [Related]  

  • 36. Directed self-assembly of silicon-containing block copolymer thin films.
    Maher MJ; Rettner CT; Bates CM; Blachut G; Carlson MC; Durand WJ; Ellison CJ; Sanders DP; Cheng JY; Willson CG
    ACS Appl Mater Interfaces; 2015 Feb; 7(5):3323-8. PubMed ID: 25594107
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Photopatterning of Block Copolymer Thin Films.
    Lane AP; Maher MJ; Willson CG; Ellison CJ
    ACS Macro Lett; 2016 Apr; 5(4):460-465. PubMed ID: 35607242
    [TBL] [Abstract][Full Text] [Related]  

  • 38. Ultrathin random copolymer-grafted layers for block copolymer self-assembly.
    Sparnacci K; Antonioli D; Gianotti V; Laus M; Lupi FF; Giammaria TJ; Seguini G; Perego M
    ACS Appl Mater Interfaces; 2015 May; 7(20):10944-51. PubMed ID: 25954979
    [TBL] [Abstract][Full Text] [Related]  

  • 39. Determination of the internal morphology of nanostructures patterned by directed self assembly.
    Sunday DF; Hammond MR; Wang C; Wu WL; Delongchamp DM; Tjio M; Cheng JY; Pitera JW; Kline RJ
    ACS Nano; 2014 Aug; 8(8):8426-37. PubMed ID: 25075449
    [TBL] [Abstract][Full Text] [Related]  

  • 40. Selective Deposition of Copper on Self-Assembled Block Copolymer Surfaces
    Lee S; Lee W; Jung HT; Ross CA
    ACS Appl Mater Interfaces; 2021 Nov; 13(44):52931-52937. PubMed ID: 34705438
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 14.