BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

299 related articles for article (PubMed ID: 31525293)

  • 1. Selective Wet Etching of Silicon Germanium in Composite Vertical Nanowires.
    Baraissov Z; Pacco A; Koneti S; Bisht G; Panciera F; Holsteyns F; Mirsaidov U
    ACS Appl Mater Interfaces; 2019 Oct; 11(40):36839-36846. PubMed ID: 31525293
    [TBL] [Abstract][Full Text] [Related]  

  • 2. The Effect of Doping on the Digital Etching of Silicon-Selective Silicon-Germanium Using Nitric Acids.
    Li Y; Zhu H; Kong Z; Zhang Y; Ai X; Wang G; Wang Q; Liu Z; Lu S; Xie L; Huang W; Liu Y; Li C; Li J; Lin H; Su J; Zeng C; Radamson HH
    Nanomaterials (Basel); 2021 May; 11(5):. PubMed ID: 34063569
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon-Germanium Multilayers Structures for Vertical Transistors Application.
    Li C; Lin H; Li J; Yin X; Zhang Y; Kong Z; Wang G; Zhu H; Radamson HH
    Nanoscale Res Lett; 2020 Dec; 15(1):225. PubMed ID: 33296038
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Scaled-Down c-Si and c-SiGe Wagon-Wheels for the Visualization of the Anisotropy and Selectivity of Wet-Chemical Etchants.
    Pacco A; Tao Z; Rip J; van Dorp D; Philipsen H; Holsteyns F
    Nanoscale Res Lett; 2019 Aug; 14(1):285. PubMed ID: 31428955
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Strained Si
    Xie L; Zhu H; Zhang Y; Ai X; Wang G; Li J; Du A; Kong Z; Yin X; Li C; Zhao L; Li Y; Jia K; Li B; Radamson HH
    Nanomaterials (Basel); 2020 Aug; 10(9):. PubMed ID: 32872556
    [TBL] [Abstract][Full Text] [Related]  

  • 6. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm.
    Li J; Li Y; Zhou N; Wang G; Zhang Q; Du A; Zhang Y; Gao J; Kong Z; Lin H; Xiang J; Li C; Yin X; Li Y; Wang X; Yang H; Ma X; Han J; Zhang J; Hu T; Yang T; Li J; Yin H; Zhu H; Wang W; Radamson HH
    Materials (Basel); 2020 Feb; 13(3):. PubMed ID: 32046197
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Lateral Ge Diffusion During Oxidation of Si/SiGe Fins.
    Brewer WM; Xin Y; Hatem C; Diercks D; Truong VQ; Jones KS
    Nano Lett; 2017 Apr; 17(4):2159-2164. PubMed ID: 28249115
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Transient Clustering of Reaction Intermediates during Wet Etching of Silicon Nanostructures.
    Aabdin Z; Xu XM; Sen S; Anand U; Král P; Holsteyns F; Mirsaidov U
    Nano Lett; 2017 May; 17(5):2953-2958. PubMed ID: 28418255
    [TBL] [Abstract][Full Text] [Related]  

  • 9. A Comprehensive Study of NF
    Sun X; Li J; Qian L; Wang D; Huang Z; Guo X; Liu T; Xu S; Wang L; Xu M; Zhang DW
    Nanomaterials (Basel); 2024 May; 14(11):. PubMed ID: 38869553
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Producing Atomically Abrupt Axial Heterojunctions in Silicon-Germanium Nanowires by Thermal Oxidation.
    Lee HY; Shen TH; Hu CY; Tsai YY; Wen CY
    Nano Lett; 2017 Dec; 17(12):7494-7499. PubMed ID: 29185770
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Selective Etching of Silicon in Preference to Germanium and Si
    Ahles CF; Choi JY; Wolf S; Kummel AC
    ACS Appl Mater Interfaces; 2017 Jun; 9(24):20947-20954. PubMed ID: 28537704
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Engineering Silicon to Porous Silicon and Silicon Nanowires by Metal-Assisted Chemical Etching: Role of Ag Size and Electron-Scavenging Rate on Morphology Control and Mechanism.
    Rajkumar K; Pandian R; Sankarakumar A; Rajendra Kumar RT
    ACS Omega; 2017 Aug; 2(8):4540-4547. PubMed ID: 31457746
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Selective Etching of Si versus Si
    Choi Y; Cho C; Yoon D; Kang J; Kim J; Kim SY; Suh DC; Ko DH
    Materials (Basel); 2022 Oct; 15(19):. PubMed ID: 36234259
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Vertical Ge/Si Core/Shell Nanowire Junctionless Transistor.
    Chen L; Cai F; Otuonye U; Lu WD
    Nano Lett; 2016 Jan; 16(1):420-6. PubMed ID: 26674542
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Self-Anchored Catalyst Interface Enables Ordered Via Array Formation from Submicrometer to Millimeter Scale for Polycrystalline and Single-Crystalline Silicon.
    Kim JD; Kim M; Kong L; Mohseni PK; Ranganathan S; Pachamuthu J; Chim WK; Chiam SY; Coleman JJ; Li X
    ACS Appl Mater Interfaces; 2018 Mar; 10(10):9116-9122. PubMed ID: 29406759
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Silicon nanowire transistors with a channel width of 4 nm fabricated by atomic force microscope nanolithography.
    Martinez J; Martínez RV; Garcia R
    Nano Lett; 2008 Nov; 8(11):3636-9. PubMed ID: 18826289
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Large area fabrication of vertical silicon nanowire arrays by silver-assisted single-step chemical etching and their formation kinetics.
    Srivastava SK; Kumar D; Schmitt SW; Sood KN; Christiansen SH; Singh PK
    Nanotechnology; 2014 May; 25(17):175601. PubMed ID: 24717841
    [TBL] [Abstract][Full Text] [Related]  

  • 18. 25 nm Single-Crystal Silicon Nanowires Fabricated by Anisotropic Wet Etching.
    Chu HM; Nguyen MV; Vu HN; Hane K
    J Nanosci Nanotechnol; 2017 Feb; 17(2):1525-529. PubMed ID: 29688670
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Solid-Liquid-Vapor Etching of Semiconductor Nanowires.
    Hui HY; Filler MA
    Nano Lett; 2015 Oct; 15(10):6939-45. PubMed ID: 26383971
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Study of Selective Dry Etching Effects of 15-Cycle Si
    Liu E; Li J; Zhou N; Chen R; Shao H; Gao J; Zhang Q; Kong Z; Lin H; Zhang C; Lai P; Yang C; Liu Y; Wang G; Zhao C; Yang T; Yin H; Li J; Luo J; Wang W
    Nanomaterials (Basel); 2023 Jul; 13(14):. PubMed ID: 37513138
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 15.