BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

178 related articles for article (PubMed ID: 32326106)

  • 1. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors.
    Li J; Li Y; Zhou N; Xiong W; Wang G; Zhang Q; Du A; Gao J; Kong Z; Lin H; Xiang J; Li C; Yin X; Wang X; Yang H; Ma X; Han J; Zhang J; Hu T; Cao Z; Yang T; Li J; Yin H; Zhu H; Luo J; Wang W; Radamson HH
    Nanomaterials (Basel); 2020 Apr; 10(4):. PubMed ID: 32326106
    [TBL] [Abstract][Full Text] [Related]  

  • 2. A Comprehensive Study of NF
    Sun X; Li J; Qian L; Wang D; Huang Z; Guo X; Liu T; Xu S; Wang L; Xu M; Zhang DW
    Nanomaterials (Basel); 2024 May; 14(11):. PubMed ID: 38869553
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon-Germanium Multilayers Structures for Vertical Transistors Application.
    Li C; Lin H; Li J; Yin X; Zhang Y; Kong Z; Wang G; Zhu H; Radamson HH
    Nanoscale Res Lett; 2020 Dec; 15(1):225. PubMed ID: 33296038
    [TBL] [Abstract][Full Text] [Related]  

  • 4. The Effect of Doping on the Digital Etching of Silicon-Selective Silicon-Germanium Using Nitric Acids.
    Li Y; Zhu H; Kong Z; Zhang Y; Ai X; Wang G; Wang Q; Liu Z; Lu S; Xie L; Huang W; Liu Y; Li C; Li J; Lin H; Su J; Zeng C; Radamson HH
    Nanomaterials (Basel); 2021 May; 11(5):. PubMed ID: 34063569
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Vertical Ge/Si Core/Shell Nanowire Junctionless Transistor.
    Chen L; Cai F; Otuonye U; Lu WD
    Nano Lett; 2016 Jan; 16(1):420-6. PubMed ID: 26674542
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Study of Selective Dry Etching Effects of 15-Cycle Si
    Liu E; Li J; Zhou N; Chen R; Shao H; Gao J; Zhang Q; Kong Z; Lin H; Zhang C; Lai P; Yang C; Liu Y; Wang G; Zhao C; Yang T; Yin H; Li J; Luo J; Wang W
    Nanomaterials (Basel); 2023 Jul; 13(14):. PubMed ID: 37513138
    [TBL] [Abstract][Full Text] [Related]  

  • 7. First Demonstration of Novel Vertical Gate-All-Around Field-Effect-Transistors Featured by Self-Aligned and Replaced High-κ Metal Gates.
    Li C; Zhu H; Zhang Y; Wang Q; Yin X; Li J; Wang G; Kong Z; Ai X; Xie L; Liu Y; Li Y; Huang W; Yan Z; Xiao Z; Radamson HH; Li J; Wang W
    Nano Lett; 2021 Jun; 21(11):4730-4737. PubMed ID: 34038143
    [TBL] [Abstract][Full Text] [Related]  

  • 8. A facile route to Si nanowire gate-all-around field effect transistors with a steep subthreshold slope.
    Lee JH; Kim BS; Choi SH; Jang Y; Hwang SW; Whang D
    Nanoscale; 2013 Oct; 5(19):8968-72. PubMed ID: 23969942
    [TBL] [Abstract][Full Text] [Related]  

  • 9. On the Vertically Stacked Gate-All-Around Nanosheet and Nanowire Transistor Scaling beyond the 5 nm Technology Node.
    Wong H; Kakushima K
    Nanomaterials (Basel); 2022 May; 12(10):. PubMed ID: 35630961
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Sensitivity of Inner Spacer Thickness Variations for Sub-3-nm Node Silicon Nanosheet Field-Effect Transistors.
    Lee S; Jeong J; Yoon JS; Lee S; Lee J; Lim J; Baek RH
    Nanomaterials (Basel); 2022 Sep; 12(19):. PubMed ID: 36234478
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Lateral Ge Diffusion During Oxidation of Si/SiGe Fins.
    Brewer WM; Xin Y; Hatem C; Diercks D; Truong VQ; Jones KS
    Nano Lett; 2017 Apr; 17(4):2159-2164. PubMed ID: 28249115
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Selective Wet Etching of Silicon Germanium in Composite Vertical Nanowires.
    Baraissov Z; Pacco A; Koneti S; Bisht G; Panciera F; Holsteyns F; Mirsaidov U
    ACS Appl Mater Interfaces; 2019 Oct; 11(40):36839-36846. PubMed ID: 31525293
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Characteristics of GaN-Based Nanowire Gate-All-Around (GAA) Transistors.
    Im KS; Reddy MSP; Choi J; Hwang Y; Roh JS; An SJ; Lee JH
    J Nanosci Nanotechnol; 2020 Jul; 20(7):4282-4286. PubMed ID: 31968458
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Stacked SiGe nanosheets p-FET for Sub-3 nm logic applications.
    Chu CL; Hsu SH; Chang WY; Luo GL; Chen SH
    Sci Rep; 2023 Jun; 13(1):9433. PubMed ID: 37296220
    [TBL] [Abstract][Full Text] [Related]  

  • 15. A III-V nanowire channel on silicon for high-performance vertical transistors.
    Tomioka K; Yoshimura M; Fukui T
    Nature; 2012 Aug; 488(7410):189-92. PubMed ID: 22854778
    [TBL] [Abstract][Full Text] [Related]  

  • 16. A Novel Si Nanosheet Channel Release Process for the Fabrication of Gate-All-Around Transistors and Its Mechanism Investigation.
    Sun X; Wang D; Qian L; Liu T; Yang J; Chen K; Wang L; Huang Z; Xu M; Wang C; Wu C; Xu S; Zhang DW
    Nanomaterials (Basel); 2023 Jan; 13(3):. PubMed ID: 36770465
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Atomic Layer Deposition of Silicon Nitride from Bis(tert-butylamino)silane and N2 Plasma.
    Knoops HC; Braeken EM; de Peuter K; Potts SE; Haukka S; Pore V; Kessels WM
    ACS Appl Mater Interfaces; 2015 Sep; 7(35):19857-62. PubMed ID: 26305370
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate.
    Xu W; Yin H; Ma X; Hong P; Xu M; Meng L
    Nanoscale Res Lett; 2015 Dec; 10(1):958. PubMed ID: 26055484
    [TBL] [Abstract][Full Text] [Related]  

  • 19. InGaAs FinFETs Directly Integrated on Silicon by Selective Growth in Oxide Cavities.
    Convertino C; Zota C; Schmid H; Caimi D; Sousa M; Moselund K; Czornomaz L
    Materials (Basel); 2018 Dec; 12(1):. PubMed ID: 30591676
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs.
    Gu J; Zhang Q; Wu Z; Yao J; Zhang Z; Zhu X; Wang G; Li J; Zhang Y; Cai Y; Xu R; Xu G; Xu Q; Yin H; Luo J; Wang W; Ye T
    Nanomaterials (Basel); 2021 Jan; 11(2):. PubMed ID: 33530292
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 9.