BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

198 related articles for article (PubMed ID: 34038143)

  • 1. First Demonstration of Novel Vertical Gate-All-Around Field-Effect-Transistors Featured by Self-Aligned and Replaced High-κ Metal Gates.
    Li C; Zhu H; Zhang Y; Wang Q; Yin X; Li J; Wang G; Kong Z; Ai X; Xie L; Liu Y; Li Y; Huang W; Yan Z; Xiao Z; Radamson HH; Li J; Wang W
    Nano Lett; 2021 Jun; 21(11):4730-4737. PubMed ID: 34038143
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Demonstration of Germanium Vertical Gate-All-Around Field-Effect Transistors Featured by Self-Aligned High-κ Metal Gates with Record High Performance.
    Xie L; Zhu H; Zhang Y; Ai X; Li J; Wang G; Liu J; Du A; Yang H; Yin X; Huang W; Li C; Li Y; Wang Q; Lu S; Kong Z; Xiang J; Du Y; Luo J; Li J; Radamson HH; Wang W; Ye T
    ACS Nano; 2023 Nov; 17(22):22259-22267. PubMed ID: 37823534
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Vertical Ge/Si Core/Shell Nanowire Junctionless Transistor.
    Chen L; Cai F; Otuonye U; Lu WD
    Nano Lett; 2016 Jan; 16(1):420-6. PubMed ID: 26674542
    [TBL] [Abstract][Full Text] [Related]  

  • 4. A facile route to Si nanowire gate-all-around field effect transistors with a steep subthreshold slope.
    Lee JH; Kim BS; Choi SH; Jang Y; Hwang SW; Whang D
    Nanoscale; 2013 Oct; 5(19):8968-72. PubMed ID: 23969942
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Growth and Selective Etch of Phosphorus-Doped Silicon/Silicon-Germanium Multilayers Structures for Vertical Transistors Application.
    Li C; Lin H; Li J; Yin X; Zhang Y; Kong Z; Wang G; Zhu H; Radamson HH
    Nanoscale Res Lett; 2020 Dec; 15(1):225. PubMed ID: 33296038
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Logic Gates Based on 3D Vertical Junctionless Gate-All-Around Transistors with Reliable Multilevel Contact Engineering.
    Kumar A; Müller J; Pelloquin S; Lecestre A; Larrieu G
    Nano Lett; 2024 Jun; ():. PubMed ID: 38885473
    [TBL] [Abstract][Full Text] [Related]  

  • 7. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm.
    Li J; Li Y; Zhou N; Wang G; Zhang Q; Du A; Zhang Y; Gao J; Kong Z; Lin H; Xiang J; Li C; Yin X; Li Y; Wang X; Yang H; Ma X; Han J; Zhang J; Hu T; Yang T; Li J; Yin H; Zhu H; Wang W; Radamson HH
    Materials (Basel); 2020 Feb; 13(3):. PubMed ID: 32046197
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Stacked SiGe nanosheets p-FET for Sub-3 nm logic applications.
    Chu CL; Hsu SH; Chang WY; Luo GL; Chen SH
    Sci Rep; 2023 Jun; 13(1):9433. PubMed ID: 37296220
    [TBL] [Abstract][Full Text] [Related]  

  • 9. A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors.
    Yang J; Huang Z; Wang D; Liu T; Sun X; Qian L; Pan Z; Xu S; Wang C; Wu C; Xu M; Zhang DW
    Micromachines (Basel); 2023 May; 14(6):. PubMed ID: 37374692
    [TBL] [Abstract][Full Text] [Related]  

  • 10. On the Vertically Stacked Gate-All-Around Nanosheet and Nanowire Transistor Scaling beyond the 5 nm Technology Node.
    Wong H; Kakushima K
    Nanomaterials (Basel); 2022 May; 12(10):. PubMed ID: 35630961
    [TBL] [Abstract][Full Text] [Related]  

  • 11. The Effect of Doping on the Digital Etching of Silicon-Selective Silicon-Germanium Using Nitric Acids.
    Li Y; Zhu H; Kong Z; Zhang Y; Ai X; Wang G; Wang Q; Liu Z; Lu S; Xie L; Huang W; Liu Y; Li C; Li J; Lin H; Su J; Zeng C; Radamson HH
    Nanomaterials (Basel); 2021 May; 11(5):. PubMed ID: 34063569
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors.
    Li J; Li Y; Zhou N; Xiong W; Wang G; Zhang Q; Du A; Gao J; Kong Z; Lin H; Xiang J; Li C; Yin X; Wang X; Yang H; Ma X; Han J; Zhang J; Hu T; Cao Z; Yang T; Li J; Yin H; Zhu H; Luo J; Wang W; Radamson HH
    Nanomaterials (Basel); 2020 Apr; 10(4):. PubMed ID: 32326106
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Top-down GaN nanowire transistors with nearly zero gate hysteresis for parallel vertical electronics.
    Fatahilah MF; Yu F; Strempel K; Römer F; Maradan D; Meneghini M; Bakin A; Hohls F; Schumacher HW; Witzigmann B; Waag A; Wasisto HS
    Sci Rep; 2019 Jul; 9(1):10301. PubMed ID: 31311946
    [TBL] [Abstract][Full Text] [Related]  

  • 14. A Unique Approach to Generate Self-Aligned SiO2/Ge/SiO2/SiGe Gate-Stacking Heterostructures in a Single Fabrication Step.
    Lai WT; Yang KC; Hsu TC; Liao PH; George T; Li PW
    Nanoscale Res Lett; 2015; 10():224. PubMed ID: 26019699
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Parallel core-shell metal-dielectric-semiconductor germanium nanowires for high-current surround-gate field-effect transistors.
    Zhang L; Tu R; Dai H
    Nano Lett; 2006 Dec; 6(12):2785-9. PubMed ID: 17163706
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Achieving short high-quality gate-all-around structures for horizontal nanowire field-effect transistors.
    Gluschke JG; Seidl J; Burke AM; Lyttleton RW; Carrad DJ; Ullah AR; Fahlvik S; Lehmann S; Linke H; Micolich AP
    Nanotechnology; 2019 Feb; 30(6):064001. PubMed ID: 30523834
    [TBL] [Abstract][Full Text] [Related]  

  • 17. p-GaAs Nanowire Metal-Semiconductor Field-Effect Transistors with Near-Thermal Limit Gating.
    Ullah AR; Meyer F; Gluschke JG; Naureen S; Caroff P; Krogstrup P; Nygård J; Micolich AP
    Nano Lett; 2018 Sep; 18(9):5673-5680. PubMed ID: 30134098
    [TBL] [Abstract][Full Text] [Related]  

  • 18. A Novel Si Nanosheet Channel Release Process for the Fabrication of Gate-All-Around Transistors and Its Mechanism Investigation.
    Sun X; Wang D; Qian L; Liu T; Yang J; Chen K; Wang L; Huang Z; Xu M; Wang C; Wu C; Xu S; Zhang DW
    Nanomaterials (Basel); 2023 Jan; 13(3):. PubMed ID: 36770465
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Selective Wet Etching of Silicon Germanium in Composite Vertical Nanowires.
    Baraissov Z; Pacco A; Koneti S; Bisht G; Panciera F; Holsteyns F; Mirsaidov U
    ACS Appl Mater Interfaces; 2019 Oct; 11(40):36839-36846. PubMed ID: 31525293
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs.
    Gu J; Zhang Q; Wu Z; Yao J; Zhang Z; Zhu X; Wang G; Li J; Zhang Y; Cai Y; Xu R; Xu G; Xu Q; Yin H; Luo J; Wang W; Ye T
    Nanomaterials (Basel); 2021 Jan; 11(2):. PubMed ID: 33530292
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 10.