These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

177 related articles for article (PubMed ID: 34198269)

  • 1. Direct visualization of beam-resist interaction volume for sub-nanometer helium ion beam-lithography.
    Deng Y; Zhuang X; Wang W; Gu R; He D; Wang L; Cheng X
    Nanotechnology; 2021 Jul; 32(41):. PubMed ID: 34198269
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Determining the resolution limits of electron-beam lithography: direct measurement of the point-spread function.
    Manfrinato VR; Wen J; Zhang L; Yang Y; Hobbs RG; Baker B; Su D; Zakharov D; Zaluzec NJ; Miller DJ; Stach EA; Berggren KK
    Nano Lett; 2014 Aug; 14(8):4406-12. PubMed ID: 24960635
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Development of Nickel-Based Negative Tone Metal Oxide Cluster Resists for Sub-10 nm Electron Beam and Helium Ion Beam Lithography.
    Kumar R; Chauhan M; Moinuddin MG; Sharma SK; Gonsalves KE
    ACS Appl Mater Interfaces; 2020 Apr; 12(17):19616-19624. PubMed ID: 32267144
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art.
    Grigorescu AE; Hagen CW
    Nanotechnology; 2009 Jul; 20(29):292001. PubMed ID: 19567961
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Plasma-Etched Pattern Transfer of Sub-10 nm Structures Using a Metal-Organic Resist and Helium Ion Beam Lithography.
    Lewis SM; Hunt MS; DeRose GA; Alty HR; Li J; Wertheim A; De Rose L; Timco GA; Scherer A; Yeates SG; Winpenny REP
    Nano Lett; 2019 Sep; 19(9):6043-6048. PubMed ID: 31424217
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Neon and helium focused ion beam etching of resist patterns.
    Xia D; Zhu X; Khanom F; Runt D
    Nanotechnology; 2020 Nov; 31(47):475301. PubMed ID: 32886649
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Exploring proximity effects and large depth of field in helium ion beam lithography: large-area dense patterns and tilted surface exposure.
    Flatabø R; Agarwal A; Hobbs R; Greve MM; Holst B; Berggren KK
    Nanotechnology; 2018 Jul; 29(27):275301. PubMed ID: 29652671
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Aberration-Corrected Electron Beam Lithography at the One Nanometer Length Scale.
    Manfrinato VR; Stein A; Zhang L; Nam CY; Yager KG; Stach EA; Black CT
    Nano Lett; 2017 Aug; 17(8):4562-4567. PubMed ID: 28418673
    [TBL] [Abstract][Full Text] [Related]  

  • 9. mr-EBL: ultra-high sensitivity negative-tone electron beam resist for highly selective silicon etching and large-scale direct patterning of permanent structures.
    Taal AJ; Rabinowitz J; Shepard KL
    Nanotechnology; 2021 Mar; 32(24):. PubMed ID: 33706291
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Optimization of e-beam lithography parameters for nanofabrication of sub-50 nm gold nanowires and nanogaps based on a bilayer lift-off process.
    Sahin O; Albayrak OM; Yapici MK
    Nanotechnology; 2024 Jul; 35(39):. PubMed ID: 38959870
    [TBL] [Abstract][Full Text] [Related]  

  • 11. High aspect ratio AFM Probe processing by helium-ion-beam induced deposition.
    Onishi K; Guo H; Nagano S; Fujita D
    Microscopy (Oxf); 2014 Nov; 63 Suppl 1():i30. PubMed ID: 25359832
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Patterning at the Resolution Limit of Commercial Electron Beam Lithography.
    Saifullah MSM; Asbahi M; Neo DCJ; Mahfoud Z; Tan HR; Ha ST; Dwivedi N; Dutta T; Bin Dolmanan S; Aabdin Z; Bosman M; Ganesan R; Tripathy S; Hasko DG; Valiyaveettil S
    Nano Lett; 2022 Sep; 22(18):7432-7440. PubMed ID: 36069429
    [TBL] [Abstract][Full Text] [Related]  

  • 13. A review of defect engineering, ion implantation, and nanofabrication using the helium ion microscope.
    Allen FI
    Beilstein J Nanotechnol; 2021; 12():633-664. PubMed ID: 34285866
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Helium ion beam milling to create a nano-structured domain wall magnetoresistance spin valve.
    Wang Y; Boden SA; Bagnall DM; Rutt HN; de Groot CH
    Nanotechnology; 2012 Oct; 23(39):395302. PubMed ID: 22972003
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Toward plasmonics with nanometer precision: nonlinear optics of helium-ion milled gold nanoantennas.
    Kollmann H; Piao X; Esmann M; Becker SF; Hou D; Huynh C; Kautschor LO; Bösker G; Vieker H; Beyer A; Gölzhäuser A; Park N; Vogelgesang R; Silies M; Lienau C
    Nano Lett; 2014 Aug; 14(8):4778-84. PubMed ID: 25051422
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Helium Ion-Assisted Wet Etching of Silicon Carbide with Extremely Low Roughness for High-Quality Nanofabrication.
    Wen X; Zhang L; Wang X; Chen L; Sun J; Hu H
    Small Methods; 2024 May; 8(5):e2301364. PubMed ID: 38185791
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Direct X-ray and electron-beam lithography of halogenated zeolitic imidazolate frameworks.
    Tu M; Xia B; Kravchenko DE; Tietze ML; Cruz AJ; Stassen I; Hauffman T; Teyssandier J; De Feyter S; Wang Z; Fischer RA; Marmiroli B; Amenitsch H; Torvisco A; Velásquez-Hernández MJ; Falcaro P; Ameloot R
    Nat Mater; 2021 Jan; 20(1):93-99. PubMed ID: 33106648
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Fabrication of high-resolution nanostructures of complex geometry by the single-spot nanolithography method.
    Samardak A; Anisimova M; Samardak A; Ognev A
    Beilstein J Nanotechnol; 2015; 6():976-86. PubMed ID: 25977869
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Electron beam lithography with feedback using in situ self-developed resist.
    Dey RK; Cui B
    Nanoscale Res Lett; 2014; 9(1):184. PubMed ID: 24739818
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Three-dimensional electron energy deposition modeling of cathodoluminescence emission near threading dislocations in GaN and electron-beam lithography exposure parameters for a PMMA resist.
    Demers H; Poirier-Demers N; Phillips MR; de Jonge N; Drouin D
    Microsc Microanal; 2012 Dec; 18(6):1220-8. PubMed ID: 23146129
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 9.