These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

178 related articles for article (PubMed ID: 34198269)

  • 21. Three-dimensional electron energy deposition modeling of cathodoluminescence emission near threading dislocations in GaN and electron-beam lithography exposure parameters for a PMMA resist.
    Demers H; Poirier-Demers N; Phillips MR; de Jonge N; Drouin D
    Microsc Microanal; 2012 Dec; 18(6):1220-8. PubMed ID: 23146129
    [TBL] [Abstract][Full Text] [Related]  

  • 22. Nano-structuring, surface and bulk modification with a focused helium ion beam.
    Fox D; Chen Y; Faulkner CC; Zhang H
    Beilstein J Nanotechnol; 2012; 3():579-85. PubMed ID: 23019554
    [TBL] [Abstract][Full Text] [Related]  

  • 23. Organic Ice Resists.
    Tiddi W; Elsukova A; Le HT; Liu P; Beleggia M; Han A
    Nano Lett; 2017 Dec; 17(12):7886-7891. PubMed ID: 29156134
    [TBL] [Abstract][Full Text] [Related]  

  • 24. Electron-Beam Patterning of Vapor-Deposited Solid Anisole.
    Zhao D; Chang B; Beleggia M
    ACS Appl Mater Interfaces; 2020 Feb; 12(5):6436-6441. PubMed ID: 31942796
    [TBL] [Abstract][Full Text] [Related]  

  • 25. Sub-5 nm Lithography with Single GeV Heavy Ions Using Inorganic Resist.
    Liu Q; Zhao J; Guo J; Wu R; Liu W; Chen Y; Du G; Duan H
    Nano Lett; 2021 Mar; 21(6):2390-2396. PubMed ID: 33683892
    [TBL] [Abstract][Full Text] [Related]  

  • 26. Reliable fabrication of 3 nm gaps between nanoelectrodes by electron-beam lithography.
    Manheller M; Trellenkamp S; Waser R; Karthäuser S
    Nanotechnology; 2012 Mar; 23(12):125302. PubMed ID: 22414820
    [TBL] [Abstract][Full Text] [Related]  

  • 27. A novel non-chemically amplified resist based on polystyrene-iodonium derivatives for electron beam lithography.
    Cui X; Zhang S; Cong X; Gao J; Wu Y; Guo X; Hu R; Wang S; Chen J; Li Y; Du W; Yang G
    Nanotechnology; 2024 Apr; 35(29):. PubMed ID: 38593758
    [TBL] [Abstract][Full Text] [Related]  

  • 28. Structurally Controlled Large-Area 10 nm Pitch Graphene Nanomesh by Focused Helium Ion Beam Milling.
    Schmidt ME; Iwasaki T; Muruganathan M; Haque M; Van Ngoc H; Ogawa S; Mizuta H
    ACS Appl Mater Interfaces; 2018 Mar; 10(12):10362-10368. PubMed ID: 29485851
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Direct patterning of high density sub-15 nm gold dot arrays using ultrahigh contrast electron beam lithography process on positive tone resist.
    Tobing LY; Tjahjana L; Zhang DH
    Nanotechnology; 2013 Feb; 24(7):075303. PubMed ID: 23358546
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Silicon micro/nanofabrication using metastable helium atom beam lithography.
    Wang ZP; Kurahashi M; Suzuki T; Ding ZJ; Yamauchi Y
    J Nanosci Nanotechnol; 2010 Nov; 10(11):7443-6. PubMed ID: 21137955
    [TBL] [Abstract][Full Text] [Related]  

  • 31. Theoretical modeling of ice lithography on amorphous solid water.
    Liu T; Tong X; Tian S; Xie Y; Zhu M; Feng B; Pan X; Zheng R; Wu S; Zhao D; Chen Y; Lu B; Qiu M
    Nanoscale; 2022 Jun; 14(25):9045-9052. PubMed ID: 35703448
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Fabrication of plasmonic structures with well-controlled nanometric features: a comparison between lift-off and ion beam etching.
    Abasahl B; Santschi C; Raziman TV; Martin OJF
    Nanotechnology; 2021 Aug; 32(47):. PubMed ID: 34348240
    [TBL] [Abstract][Full Text] [Related]  

  • 33. Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope.
    Zhang L; Heinig NF; Bazargan S; Abd-Ellah M; Moghimi N; Leung KT
    Nanotechnology; 2015 Jan; 26(25):255303. PubMed ID: 26040204
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Effect of post exposure bake in inorganic electron beam resist and utilizing for nanoimprint mold.
    Shizuno M; Taniguchi J; Ogino K; Ishikawa K
    J Nanosci Nanotechnol; 2009 Jan; 9(1):562-6. PubMed ID: 19441350
    [TBL] [Abstract][Full Text] [Related]  

  • 35. Imaging and nanofabrication with the helium ion microscope of the Van Leeuwenhoek Laboratory in Delft.
    Alkemade PF; Koster EM; van Veldhoven E; Maas DJ
    Scanning; 2012; 34(2):90-100. PubMed ID: 22544486
    [TBL] [Abstract][Full Text] [Related]  

  • 36. Maskless Lithography and in situ Visualization of Conductivity of Graphene using Helium Ion Microscopy.
    Iberi V; Vlassiouk I; Zhang XG; Matola B; Linn A; Joy DC; Rondinone AJ
    Sci Rep; 2015 Jul; 5():11952. PubMed ID: 26150202
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Precision cutting and patterning of graphene with helium ions.
    Bell DC; Lemme MC; Stern LA; Williams JR; Marcus CM
    Nanotechnology; 2009 Nov; 20(45):455301. PubMed ID: 19822934
    [TBL] [Abstract][Full Text] [Related]  

  • 38. Modeling the point-spread function in helium-ion lithography.
    Winston D; Ferrera J; Battistella L; Vladár AE; Berggren KK
    Scanning; 2012; 34(2):121-8. PubMed ID: 21938733
    [TBL] [Abstract][Full Text] [Related]  

  • 39. Investigation of a nanofabrication process to achieve high aspect-ratio nanostructures on a quartz substrate.
    Mohamed K; Alkaisi MM
    Nanotechnology; 2013 Jan; 24(1):015302. PubMed ID: 23221357
    [TBL] [Abstract][Full Text] [Related]  

  • 40. Direct Patterning of Zinc Sulfide on a Sub-10 Nanometer Scale via Electron Beam Lithography.
    Saifullah MSM; Asbahi M; Binti-Kamran Kiyani M; Tripathy S; Ong EAH; Ibn Saifullah A; Tan HR; Dutta T; Ganesan R; Valiyaveettil S; Chong KSL
    ACS Nano; 2017 Oct; 11(10):9920-9929. PubMed ID: 28938068
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 9.