BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

180 related articles for article (PubMed ID: 34203194)

  • 1. Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics.
    Li Y; Zhao F; Cheng X; Liu H; Zan Y; Li J; Zhang Q; Wu Z; Luo J; Wang W
    Nanomaterials (Basel); 2021 Jun; 11(7):. PubMed ID: 34203194
    [TBL] [Abstract][Full Text] [Related]  

  • 2. 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process.
    Cheng X; Li Y; Zhao F; Chen A; Liu H; Li C; Zhang Q; Yin H; Luo J; Wang W
    Nanomaterials (Basel); 2022 Mar; 12(5):. PubMed ID: 35269377
    [TBL] [Abstract][Full Text] [Related]  

  • 3. High-Performance P- and N-Type SiGe/Si Strained Super-Lattice FinFET and CMOS Inverter: Comparison of Si and SiGe FinFET.
    Yao YJ; Yang CR; Tseng TY; Chang HJ; Lin TJ; Luo GL; Hou FJ; Wu YC; Chang-Liao KS
    Nanomaterials (Basel); 2023 Apr; 13(8):. PubMed ID: 37110895
    [TBL] [Abstract][Full Text] [Related]  

  • 4. On the Vertically Stacked Gate-All-Around Nanosheet and Nanowire Transistor Scaling beyond the 5 nm Technology Node.
    Wong H; Kakushima K
    Nanomaterials (Basel); 2022 May; 12(10):. PubMed ID: 35630961
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Stacked SiGe nanosheets p-FET for Sub-3 nm logic applications.
    Chu CL; Hsu SH; Chang WY; Luo GL; Chen SH
    Sci Rep; 2023 Jun; 13(1):9433. PubMed ID: 37296220
    [TBL] [Abstract][Full Text] [Related]  

  • 6. I-Shaped SiGe Fin Tunnel Field-Effect Transistor with High
    Lee R; Lee J; Lee K; Kim S; Kim S; Kim S; Park BG
    J Nanosci Nanotechnol; 2020 Jul; 20(7):4298-4302. PubMed ID: 31968461
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Lateral Ge Diffusion During Oxidation of Si/SiGe Fins.
    Brewer WM; Xin Y; Hatem C; Diercks D; Truong VQ; Jones KS
    Nano Lett; 2017 Apr; 17(4):2159-2164. PubMed ID: 28249115
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices.
    Zhang Q; Gu J; Xu R; Cao L; Li J; Wu Z; Wang G; Yao J; Zhang Z; Xiang J; He X; Kong Z; Yang H; Tian J; Xu G; Mao S; Radamson HH; Yin H; Luo J
    Nanomaterials (Basel); 2021 Mar; 11(3):. PubMed ID: 33808024
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Electrical characteristic fluctuation of 16-nm-gate trapezoidal bulk FinFET devices with fixed top-fin width induced by random discrete dopants.
    Huang WT; Li Y
    Nanoscale Res Lett; 2015; 10():116. PubMed ID: 25897299
    [TBL] [Abstract][Full Text] [Related]  

  • 10. The Optimization and Analysis of a Triple-Fin Heterostructure-on-Insulator Fin Field-Effect Transistor with a Stacked High-k Configuration and 10 nm Channel Length.
    Saha P; Sankar Dhar R; Nanda S; Kumar K; Alathbah M
    Nanomaterials (Basel); 2023 Nov; 13(23):. PubMed ID: 38063707
    [TBL] [Abstract][Full Text] [Related]  

  • 11. A Feasible Alternative to FDSOI and FinFET: Optimization of W/La
    Mah SK; Ker PJ; Ahmad I; Zainul Abidin NF; Ali Gamel MM
    Materials (Basel); 2021 Sep; 14(19):. PubMed ID: 34640118
    [TBL] [Abstract][Full Text] [Related]  

  • 12. A Comprehensive Study of NF
    Sun X; Li J; Qian L; Wang D; Huang Z; Guo X; Liu T; Xu S; Wang L; Xu M; Zhang DW
    Nanomaterials (Basel); 2024 May; 14(11):. PubMed ID: 38869553
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Interface Investigation on SiGe/Si Multilayer Structures: Influence of Different Epitaxial Process Conditions.
    Kong Z; Song Y; Wang H; Liu X; Wang X; Liu J; Li B; Su J; Tan X; Luan Q; Lin H; Ren Y; Zhang Y; Liu J; Li J; Du A; Radamson HH; Zhao C; Ye T; Wang G
    ACS Appl Mater Interfaces; 2023 Dec; 15(48):56567-56574. PubMed ID: 37988059
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Vertical Gate-All-Around Device Architecture to Improve the Device Performance for Sub-5-nm Technology.
    Noh C; Han C; Won SM; Shin C
    Micromachines (Basel); 2022 Sep; 13(9):. PubMed ID: 36144174
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Design Technology Co-Optimization Strategy for Ge Fraction in SiGe Channel of SGOI FinFET.
    Li S; Wu Z
    Nanomaterials (Basel); 2023 May; 13(11):. PubMed ID: 37299612
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Second harmonic generation and simplified bond hyperpolarizability model analyses on the intermixing of Si/SiGe stacked multilayers for gate-all-around structure.
    Chen WT; Yen TY; Hung YH; Huang YH; Chiu SJ; Lo KY
    Nanotechnology; 2023 Jan; 34(14):. PubMed ID: 36626806
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Strained Si
    Xie L; Zhu H; Zhang Y; Ai X; Wang G; Li J; Du A; Kong Z; Yin X; Li C; Zhao L; Li Y; Jia K; Li B; Radamson HH
    Nanomaterials (Basel); 2020 Aug; 10(9):. PubMed ID: 32872556
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET Based on RF/Analog Circuit.
    Han K; Qiao G; Deng Z; Zhang Y
    Micromachines (Basel); 2017 Nov; 8(11):. PubMed ID: 30400520
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Controlled Formation of Stacked Si Quantum Dots in Vertical SiGe Nanowires.
    Turner EM; Campbell Q; Pizarro J; Yang H; Sapkota KR; Lu P; Baczewski AD; Wang GT; Jones KS
    Nano Lett; 2021 Oct; 21(19):7905-7912. PubMed ID: 34582219
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Comparative Characterization of NWFET and FinFET Transistor Structures Using TCAD Modeling.
    Petrosyants KO; Silkin DS; Popov DA
    Micromachines (Basel); 2022 Aug; 13(8):. PubMed ID: 36014213
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 9.