BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

200 related articles for article (PubMed ID: 34357248)

  • 1. ASimOV: A Framework for Simulation and Optimization of an Embedded AI Accelerator.
    Hwang DH; Han CY; Oh HW; Lee SE
    Micromachines (Basel); 2021 Jul; 12(7):. PubMed ID: 34357248
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Design of Network-on-Chip-Based Restricted Coulomb Energy Neural Network Accelerator on FPGA Device.
    Kang S; Lee S; Jung Y
    Sensors (Basel); 2024 Mar; 24(6):. PubMed ID: 38544154
    [TBL] [Abstract][Full Text] [Related]  

  • 3. EDSSA: An Encoder-Decoder Semantic Segmentation Networks Accelerator on OpenCL-Based FPGA Platform.
    Huang H; Wu Y; Yu M; Shi X; Qiao F; Luo L; Wei Q; Liu X
    Sensors (Basel); 2020 Jul; 20(14):. PubMed ID: 32708851
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Research on Convolutional Neural Network Inference Acceleration and Performance Optimization for Edge Intelligence.
    Liang Y; Tan J; Xie Z; Chen Z; Lin D; Yang Z
    Sensors (Basel); 2023 Dec; 24(1):. PubMed ID: 38203102
    [TBL] [Abstract][Full Text] [Related]  

  • 5. FPGA-based neural network accelerators for millimeter-wave radio-over-fiber systems.
    Lee J; He J; Wang K
    Opt Express; 2020 Apr; 28(9):13384-13400. PubMed ID: 32403814
    [TBL] [Abstract][Full Text] [Related]  

  • 6. A fully-mapped and energy-efficient FPGA accelerator for dual-function AI-based analysis of ECG.
    Liu W; Guo Q; Chen S; Chang S; Wang H; He J; Huang Q
    Front Physiol; 2023; 14():1079503. PubMed ID: 36814476
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Hardware Implementations of a Deep Learning Approach to Optimal Configuration of Reconfigurable Intelligence Surfaces.
    Martín-Martín A; Padial-Allué R; Castillo E; Parrilla L; Parellada-Serrano I; Morán A; García A
    Sensors (Basel); 2024 Jan; 24(3):. PubMed ID: 38339618
    [TBL] [Abstract][Full Text] [Related]  

  • 8. A Review of Artificial Intelligence in Embedded Systems.
    Zhang Z; Li J
    Micromachines (Basel); 2023 Apr; 14(5):. PubMed ID: 37241521
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Hardware Trojan Attacks on the Reconfigurable Interconnections of Field-Programmable Gate Array-Based Convolutional Neural Network Accelerators and a Physically Unclonable Function-Based Countermeasure Detection Technique.
    Hou J; Liu Z; Yang Z; Yang C
    Micromachines (Basel); 2024 Jan; 15(1):. PubMed ID: 38276848
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Design of a Low-Power Embedded System Based on a SoC-FPGA and the Honeybee Search Algorithm for Real-Time Video Tracking.
    Soubervielle-Montalvo C; Perez-Cham OE; Puente C; Gonzalez-Galvan EJ; Olague G; Aguirre-Salado CA; Cuevas-Tello JC; Ontanon-Garcia LJ
    Sensors (Basel); 2022 Feb; 22(3):. PubMed ID: 35162025
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Designing Deep Learning Hardware Accelerator and Efficiency Evaluation.
    Qi Z; Chen W; Naqvi RA; Siddique K
    Comput Intell Neurosci; 2022; 2022():1291103. PubMed ID: 35875766
    [TBL] [Abstract][Full Text] [Related]  

  • 12. fpgaConvNet: Mapping Regular and Irregular Convolutional Neural Networks on FPGAs.
    Venieris SI; Bouganis CS
    IEEE Trans Neural Netw Learn Syst; 2019 Feb; 30(2):326-342. PubMed ID: 29994725
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Stochastic Computing Convolutional Neural Network Architecture Reinvented for Highly Efficient Artificial Intelligence Workload on Field-Programmable Gate Array.
    Lee YY; Halim ZA; Wahab MNA; Almohamad TA
    Research (Wash D C); 2024; 7():0307. PubMed ID: 38439995
    [TBL] [Abstract][Full Text] [Related]  

  • 14. An OpenCL-Based FPGA Accelerator for Faster R-CNN.
    An J; Zhang D; Xu K; Wang D
    Entropy (Basel); 2022 Sep; 24(10):. PubMed ID: 37420365
    [TBL] [Abstract][Full Text] [Related]  

  • 15. A Heterogeneous Hardware Accelerator for Image Classification in Embedded Systems.
    Pérez I; Figueroa M
    Sensors (Basel); 2021 Apr; 21(8):. PubMed ID: 33918668
    [TBL] [Abstract][Full Text] [Related]  

  • 16. A Versatile Approach for Adaptive Grid Mapping and Grid Flex-Graph Exploration with a Field-Programmable Gate Array-Based Robot Using Hardware Schemes.
    Basha M; Siva Kumar M; Chinnaiah MC; Lam SK; Srikanthan T; Divya Vani G; Janardhan N; Hari Krishna D; Dubey S
    Sensors (Basel); 2024 Apr; 24(9):. PubMed ID: 38732882
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Lossless Decompression Accelerator for Embedded Processor with GUI.
    Hwang GB; Cho KN; Han CY; Oh HW; Yoon YH; Lee SE
    Micromachines (Basel); 2021 Jan; 12(2):. PubMed ID: 33572563
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Embedded Streaming Deep Neural Networks Accelerator With Applications.
    Dundar A; Jin J; Martini B; Culurciello E
    IEEE Trans Neural Netw Learn Syst; 2017 Jul; 28(7):1572-1583. PubMed ID: 27071200
    [TBL] [Abstract][Full Text] [Related]  

  • 19. An Accelerator Design Using a MTCA Decomposition Algorithm for CNNs.
    Zhao Y; Lu J; Chen X
    Sensors (Basel); 2020 Sep; 20(19):. PubMed ID: 32998366
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Feedforward neural network implementation in FPGA using layer multiplexing for effective resource utilization.
    Himavathi S; Anitha D; Muthuramalingam A
    IEEE Trans Neural Netw; 2007 May; 18(3):880-8. PubMed ID: 17526352
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 10.