BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

156 related articles for article (PubMed ID: 35650801)

  • 21. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography.
    Yang GW; Wu GP; Chen X; Xiong S; Arges CG; Ji S; Nealey PF; Lu XB; Darensbourg DJ; Xu ZK
    Nano Lett; 2017 Feb; 17(2):1233-1239. PubMed ID: 28068100
    [TBL] [Abstract][Full Text] [Related]  

  • 22. Perpendicular Orientation Control without Interfacial Treatment of RAFT-Synthesized High-χ Block Copolymer Thin Films with Sub-10 nm Features Prepared via Thermal Annealing.
    Nakatani R; Takano H; Chandra A; Yoshimura Y; Wang L; Suzuki Y; Tanaka Y; Maeda R; Kihara N; Minegishi S; Miyagi K; Kasahara Y; Sato H; Seino Y; Azuma T; Yokoyama H; Ober CK; Hayakawa T
    ACS Appl Mater Interfaces; 2017 Sep; 9(37):31266-31278. PubMed ID: 28304153
    [TBL] [Abstract][Full Text] [Related]  

  • 23. Block copolymer/ferroelectric nanoparticle nanocomposites.
    Pang X; He Y; Jiang B; Iocozzia J; Zhao L; Guo H; Liu J; Akinc M; Bowler N; Tan X; Lin Z
    Nanoscale; 2013 Sep; 5(18):8695-702. PubMed ID: 23900588
    [TBL] [Abstract][Full Text] [Related]  

  • 24. Ionic Liquids as Additives to Polystyrene- Block-Poly(Methyl Methacrylate) Enabling Directed Self-Assembly of Patterns with Sub-10 nm Features.
    Chen X; Zhou C; Chen SJ; Craig GSW; Rincon-Delgadillo P; Dazai T; Miyagi K; Maehashi T; Yamazaki A; Gronheid R; Stoykovich MP; Nealey PF
    ACS Appl Mater Interfaces; 2018 May; 10(19):16747-16759. PubMed ID: 29667409
    [TBL] [Abstract][Full Text] [Related]  

  • 25. Resist free patterning of nonpreferential buffer layers for block copolymer lithography.
    Han E; Leolukman M; Kim M; Gopalan P
    ACS Nano; 2010 Nov; 4(11):6527-34. PubMed ID: 20958012
    [TBL] [Abstract][Full Text] [Related]  

  • 26. An AFM, XPS and wettability study of the surface heterogeneity of PS/PMMA-r-PMAA demixed thin films.
    Zuyderhoff EM; Dekeyser CM; Rouxhet PG; Dupont-Gillain CC
    J Colloid Interface Sci; 2008 Mar; 319(1):63-71. PubMed ID: 18076895
    [TBL] [Abstract][Full Text] [Related]  

  • 27. Dynamic Ordering in High-χ Block Copolymer Lamellae Based on Cross-Sectional Orientational Alignment.
    Nakatani R; Chandra A; Uchiyama T; Nabae Y; Hayakawa T
    ACS Macro Lett; 2019 Sep; 8(9):1122-1127. PubMed ID: 35619441
    [TBL] [Abstract][Full Text] [Related]  

  • 28. Through-Thickness Vertically Ordered Lamellar Block Copolymer Thin Films on Unmodified Quartz with Cold Zone Annealing.
    Basutkar MN; Samant S; Strzalka J; Yager KG; Singh G; Karim A
    Nano Lett; 2017 Dec; 17(12):7814-7823. PubMed ID: 29136475
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Defect-free nanoporous thin films from ABC triblock copolymers.
    Bang J; Kim SH; Drockenmuller E; Misner MJ; Russell TP; Hawker CJ
    J Am Chem Soc; 2006 Jun; 128(23):7622-9. PubMed ID: 16756319
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Photocontrol over the disorder-to-order transition in thin films of polystyrene-block-poly(methyl methacrylate) block copolymers containing photodimerizable anthracene functionality.
    Chen W; Wang JY; Zhao W; Li L; Wei X; Balazs AC; Matyjaszewski K; Russell TP
    J Am Chem Soc; 2011 Nov; 133(43):17217-24. PubMed ID: 21905715
    [TBL] [Abstract][Full Text] [Related]  

  • 31. GISAXS Analysis of the In-Depth Morphology of Thick PS-b-PMMA Films.
    Ferrarese Lupi F; Giammaria TJ; Seguini G; Laus M; Dubček P; Pivac B; Bernstorff S; Perego M
    ACS Appl Mater Interfaces; 2017 Mar; 9(12):11054-11063. PubMed ID: 28263052
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Synthesis and Characterization of ABA-Type Triblock Copolymers Using Novel Bifunctional PS, PMMA, and PCL Macroinitiators Bearing
    Mısır M; Savaskan Yılmaz S; Bilgin A
    Polymers (Basel); 2023 Sep; 15(18):. PubMed ID: 37765667
    [TBL] [Abstract][Full Text] [Related]  

  • 33. Effects of the Density of Chemical Cross-links and Physical Entanglements of Ultraviolet-Irradiated Polystyrene Chains on Domain Orientation and Spatial Order of Polystyrene-
    Yang WC; Wu SH; Chen YF; Nelson A; Wu CM; Sun YS
    Langmuir; 2019 Oct; 35(43):14017-14030. PubMed ID: 31577149
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Fabrication of a sub-10 nm silicon nanowire based ethanol sensor using block copolymer lithography.
    Rasappa S; Borah D; Faulkner CC; Lutz T; Shaw MT; Holmes JD; Morris MA
    Nanotechnology; 2013 Feb; 24(6):065503. PubMed ID: 23340158
    [TBL] [Abstract][Full Text] [Related]  

  • 35. Negative-tone block copolymer lithography by in situ surface chemical modification.
    Kim BH; Byeon KJ; Kim JY; Kim J; Jin HM; Cho JY; Jeong SJ; Shin J; Lee H; Kim SO
    Small; 2014 Oct; 10(20):4207-12. PubMed ID: 24912807
    [TBL] [Abstract][Full Text] [Related]  

  • 36. Self-Assembled Nanoparticle Arrays on Chemical Nanopatterns Prepared Using Block Copolymer Lithography.
    Onses MS; Wan L; Liu X; Kiremitler NB; Yılmaz H; Nealey PF
    ACS Macro Lett; 2015 Dec; 4(12):1356-1361. PubMed ID: 35614782
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Nanoscale silicon substrate patterns from self-assembly of cylinder forming poly(styrene)-block-poly(dimethylsiloxane) block copolymer on silane functionalized surfaces.
    Borah D; Cummins C; Rasappa S; Watson SM; Pike AR; Horrocks BR; Fulton DA; Houlton A; Liontos G; Ntetsikas K; Avgeropoulos A; Morris MA
    Nanotechnology; 2017 Jan; 28(4):044001. PubMed ID: 27981945
    [TBL] [Abstract][Full Text] [Related]  

  • 38. Chemical patterns from surface grafted resists for directed assembly of block copolymers.
    Han E; Kim M; Gopalan P
    ACS Nano; 2012 Feb; 6(2):1823-9. PubMed ID: 22243029
    [TBL] [Abstract][Full Text] [Related]  

  • 39. Characterizing the Three-Dimensional Structure of Block Copolymers via Sequential Infiltration Synthesis and Scanning Transmission Electron Tomography.
    Segal-Peretz T; Winterstein J; Doxastakis M; Ramírez-Hernández A; Biswas M; Ren J; Suh HS; Darling SB; Liddle JA; Elam JW; de Pablo JJ; Zaluzec NJ; Nealey PF
    ACS Nano; 2015 May; 9(5):5333-47. PubMed ID: 25919347
    [TBL] [Abstract][Full Text] [Related]  

  • 40. Spatial arrangement of block copolymer nanopatterns using a photoactive homopolymer substrate.
    Jiang Z; Alam MM; Cheng HH; Blakey I; Whittaker AK
    Nanoscale Adv; 2019 Aug; 1(8):3078-3085. PubMed ID: 36133582
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 8.