These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

194 related articles for article (PubMed ID: 35802543)

  • 1. A Neuromorphic Processing System With Spike-Driven SNN Processor for Wearable ECG Classification.
    Chu H; Yan Y; Gan L; Jia H; Qian L; Huan Y; Zheng L; Zou Z
    IEEE Trans Biomed Circuits Syst; 2022 Aug; 16(4):511-523. PubMed ID: 35802543
    [TBL] [Abstract][Full Text] [Related]  

  • 2. A 510 μW 0.738-mm
    Fang C; Wang C; Zhao S; Tian F; Yang J; Sawan M
    IEEE Trans Biomed Circuits Syst; 2023 Jun; 17(3):507-520. PubMed ID: 37224372
    [TBL] [Abstract][Full Text] [Related]  

  • 3. MorphIC: A 65-nm 738k-Synapse/mm
    Frenkel C; Legat JD; Bol D
    IEEE Trans Biomed Circuits Syst; 2019 Oct; 13(5):999-1010. PubMed ID: 31329562
    [TBL] [Abstract][Full Text] [Related]  

  • 4. An Ultra-Energy-Efficient and High Accuracy ECG Classification Processor With SNN Inference Assisted by On-Chip ANN Learning.
    Mao R; Li S; Zhang Z; Xia Z; Xiao J; Zhu Z; Liu J; Shan W; Chang L; Zhou J
    IEEE Trans Biomed Circuits Syst; 2022 Oct; 16(5):832-841. PubMed ID: 35737625
    [TBL] [Abstract][Full Text] [Related]  

  • 5. A 0.99-to-4.38 uJ/class Event-Driven Hybrid Neural Network Processor for Full-Spectrum Neural Signal Analyses.
    Zhao S; Yang J; Wang J; Fang C; Liu T; Zhang S; Sawan M
    IEEE Trans Biomed Circuits Syst; 2023 Jun; 17(3):598-609. PubMed ID: 37074883
    [TBL] [Abstract][Full Text] [Related]  

  • 6. SSTDP: Supervised Spike Timing Dependent Plasticity for Efficient Spiking Neural Network Training.
    Liu F; Zhao W; Chen Y; Wang Z; Yang T; Jiang L
    Front Neurosci; 2021; 15():756876. PubMed ID: 34803591
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Auditory perception architecture with spiking neural network and implementation on FPGA.
    Deng B; Fan Y; Wang J; Yang S
    Neural Netw; 2023 Aug; 165():31-42. PubMed ID: 37276809
    [TBL] [Abstract][Full Text] [Related]  

  • 8. A Low-Power Spiking Neural Network Chip Based on a Compact LIF Neuron and Binary Exponential Charge Injector Synapse Circuits.
    Asghar MS; Arslan S; Kim H
    Sensors (Basel); 2021 Jun; 21(13):. PubMed ID: 34210045
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Spike-Based Approximate Backpropagation Algorithm of Brain-Inspired Deep SNN for Sonar Target Classification.
    Liu Y; Tian M; Liu R; Cao K; Wang R; Wang Y; Zhao W; Zhou Y
    Comput Intell Neurosci; 2022; 2022():1633946. PubMed ID: 36313052
    [TBL] [Abstract][Full Text] [Related]  

  • 10. A 746 nW ECG Processor ASIC Based on Ternary Neural Network.
    Abubakar SM; Yin Y; Tan S; Jiang H; Wang Z
    IEEE Trans Biomed Circuits Syst; 2022 Aug; 16(4):703-713. PubMed ID: 35921346
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Early Termination Based Training Acceleration for an Energy-Efficient SNN Processor Design.
    Choi S; Lew D; Park J
    IEEE Trans Biomed Circuits Syst; 2022 Jun; 16(3):442-455. PubMed ID: 35687615
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Supervised Learning in All FeFET-Based Spiking Neural Network: Opportunities and Challenges.
    Dutta S; Schafer C; Gomez J; Ni K; Joshi S; Datta S
    Front Neurosci; 2020; 14():634. PubMed ID: 32670012
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Severity-Based Hierarchical ECG Classification Using Neural Networks.
    Diware S; Dash S; Gebregiorgis A; Joshi RV; Strydis C; Hamdioui S; Bishnoi R
    IEEE Trans Biomed Circuits Syst; 2023 Feb; 17(1):77-91. PubMed ID: 37015138
    [TBL] [Abstract][Full Text] [Related]  

  • 14. A 13.34 μW Event-Driven Patient-Specific ANN Cardiac Arrhythmia Classifier for Wearable ECG Sensors.
    Zhao Y; Shang Z; Lian Y
    IEEE Trans Biomed Circuits Syst; 2020 Apr; 14(2):186-197. PubMed ID: 31794404
    [TBL] [Abstract][Full Text] [Related]  

  • 15. A Novel Instruction Driven 1-D CNN Processor for ECG Classification.
    Deng J; Yang J; Wang X; Zhang X
    Sensors (Basel); 2024 Jul; 24(13):. PubMed ID: 39001155
    [TBL] [Abstract][Full Text] [Related]  

  • 16. VTSNN: a virtual temporal spiking neural network.
    Qiu XR; Wang ZR; Luan Z; Zhu RJ; Wu X; Zhang ML; Deng LJ
    Front Neurosci; 2023; 17():1091097. PubMed ID: 37287800
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Analyzing and Accelerating the Bottlenecks of Training Deep SNNs With Backpropagation.
    Chen R; Li L
    Neural Comput; 2020 Dec; 32(12):2557-2600. PubMed ID: 32946710
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Spike Counts Based Low Complexity SNN Architecture With Binary Synapse.
    Tang H; Kim H; Kim H; Park J
    IEEE Trans Biomed Circuits Syst; 2019 Dec; 13(6):1664-1677. PubMed ID: 31603797
    [TBL] [Abstract][Full Text] [Related]  

  • 19. A lightweight convolutional neural network hardware implementation for wearable heart rate anomaly detection.
    Gu M; Zhang Y; Wen Y; Ai G; Zhang H; Wang P; Wang G
    Comput Biol Med; 2023 Mar; 155():106623. PubMed ID: 36809696
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Always-On Sub-Microwatt Spiking Neural Network Based on Spike-Driven Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device.
    Chundi PK; Wang D; Kim SJ; Yang M; Cerqueira JP; Kang J; Jung S; Kim S; Seok M
    Front Neurosci; 2021; 15():684113. PubMed ID: 34354559
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 10.