These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

133 related articles for article (PubMed ID: 36107891)

  • 1. A Noniterative Supervised On-Chip Training Circuitry for Reservoir Computing Systems.
    Galan-Prado F; Rossello JL
    IEEE Trans Neural Netw Learn Syst; 2024 Mar; 35(3):4097-4109. PubMed ID: 36107891
    [TBL] [Abstract][Full Text] [Related]  

  • 2. FPGA-Based Stochastic Echo State Networks for Time-Series Forecasting.
    Alomar ML; Canals V; Perez-Mora N; Martínez-Moll V; Rosselló JL
    Comput Intell Neurosci; 2016; 2016():3917892. PubMed ID: 26880876
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Memristors for Neuromorphic Circuits and Artificial Intelligence Applications.
    Miranda E; Suñé J
    Materials (Basel); 2020 Feb; 13(4):. PubMed ID: 32093164
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Neuromorphic Time-Multiplexed Reservoir Computing With On-the-Fly Weight Generation for Edge Devices.
    Gupta S; Chakraborty S; Thakur CS
    IEEE Trans Neural Netw Learn Syst; 2022 Jun; 33(6):2676-2685. PubMed ID: 34125686
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Design of Network-on-Chip-Based Restricted Coulomb Energy Neural Network Accelerator on FPGA Device.
    Kang S; Lee S; Jung Y
    Sensors (Basel); 2024 Mar; 24(6):. PubMed ID: 38544154
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Fully Parallel Stochastic Computing Hardware Implementation of Convolutional Neural Networks for Edge Computing Applications.
    Frasser CF; Linares-Serrano P; de Rios IDL; Moran A; Skibinsky-Gitlin ES; Font-Rossello J; Canals V; Roca M; Serrano-Gotarredona T; Rossello JL
    IEEE Trans Neural Netw Learn Syst; 2023 Dec; 34(12):10408-10418. PubMed ID: 35452392
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Experimental demonstration of reservoir computing on a silicon photonics chip.
    Vandoorne K; Mechet P; Van Vaerenbergh T; Fiers M; Morthier G; Verstraeten D; Schrauwen B; Dambre J; Bienstman P
    Nat Commun; 2014 Mar; 5():3541. PubMed ID: 24662967
    [TBL] [Abstract][Full Text] [Related]  

  • 8. An interval type-2 neural fuzzy chip with on-chip incremental learning ability for time-varying data sequence prediction and system control.
    Juang CF; Chen CY
    IEEE Trans Neural Netw Learn Syst; 2014 Jan; 25(1):216-28. PubMed ID: 24806655
    [TBL] [Abstract][Full Text] [Related]  

  • 9. An FPGA implementation of Bayesian inference with spiking neural networks.
    Li H; Wan B; Fang Y; Li Q; Liu JK; An L
    Front Neurosci; 2023; 17():1291051. PubMed ID: 38249589
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Feedforward neural network implementation in FPGA using layer multiplexing for effective resource utilization.
    Himavathi S; Anitha D; Muthuramalingam A
    IEEE Trans Neural Netw; 2007 May; 18(3):880-8. PubMed ID: 17526352
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Performance analysis of multiple input single layer neural network hardware chip.
    Goel A; Goel AK; Kumar A
    Multimed Tools Appl; 2023 Feb; ():1-22. PubMed ID: 36846531
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Efficient FPGA Implementation of Convolutional Neural Networks and Long Short-Term Memory for Radar Emitter Signal Recognition.
    Wu B; Wu X; Li P; Gao Y; Si J; Al-Dhahir N
    Sensors (Basel); 2024 Jan; 24(3):. PubMed ID: 38339606
    [TBL] [Abstract][Full Text] [Related]  

  • 13. GeCo: Classification Restricted Boltzmann Machine Hardware for On-Chip Semisupervised Learning and Bayesian Inference.
    Yi W; Park J; Kim JJ
    IEEE Trans Neural Netw Learn Syst; 2020 Jan; 31(1):53-65. PubMed ID: 30892240
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Recent advances in physical reservoir computing: A review.
    Tanaka G; Yamane T; Héroux JB; Nakane R; Kanazawa N; Takeda S; Numata H; Nakano D; Hirose A
    Neural Netw; 2019 Jul; 115():100-123. PubMed ID: 30981085
    [TBL] [Abstract][Full Text] [Related]  

  • 15. A Novel Automate Python Edge-to-Edge: From Automated Generation on Cloud to User Application Deployment on Edge of Deep Neural Networks for Low Power IoT Systems FPGA-Based Acceleration.
    Belabed T; Ramos Gomes da Silva V; Quenon A; Valderamma C; Souani C
    Sensors (Basel); 2021 Sep; 21(18):. PubMed ID: 34577258
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Design and Analysis of a Neuromemristive Reservoir Computing Architecture for Biosignal Processing.
    Kudithipudi D; Saleh Q; Merkel C; Thesing J; Wysocki B
    Front Neurosci; 2015; 9():502. PubMed ID: 26869876
    [TBL] [Abstract][Full Text] [Related]  

  • 17. MEMS reservoir computing system with stiffness modulation for multi-scene data processing at the edge.
    Guo X; Yang W; Xiong X; Wang Z; Zou X
    Microsyst Nanoeng; 2024; 10():84. PubMed ID: 38915829
    [TBL] [Abstract][Full Text] [Related]  

  • 18. On-Chip Training Spiking Neural Networks Using Approximated Backpropagation With Analog Synaptic Devices.
    Kwon D; Lim S; Bae JH; Lee ST; Kim H; Seo YT; Oh S; Kim J; Yeom K; Park BG; Lee JH
    Front Neurosci; 2020; 14():423. PubMed ID: 32733180
    [TBL] [Abstract][Full Text] [Related]  

  • 19. A Heterogeneous Hardware Accelerator for Image Classification in Embedded Systems.
    Pérez I; Figueroa M
    Sensors (Basel); 2021 Apr; 21(8):. PubMed ID: 33918668
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Neuromorphic Sentiment Analysis Using Spiking Neural Networks.
    Chunduri RK; Perera DG
    Sensors (Basel); 2023 Sep; 23(18):. PubMed ID: 37765758
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 7.