These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

164 related articles for article (PubMed ID: 37368288)

  • 1. Comparative Study of Thermal and Plasma-Enhanced Atomic Layer Deposition of Iron Oxide Using Bis(
    Choi B; Park GW; Jeong JR; Jeon N
    Nanomaterials (Basel); 2023 Jun; 13(12):. PubMed ID: 37368288
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Fabrication of Thin Films of α-Fe2O3 via Atomic Layer Deposition Using Iron Bisamidinate and Water under Mild Growth Conditions.
    Avila JR; Kim DW; Rimoldi M; Farha OK; Hupp JT
    ACS Appl Mater Interfaces; 2015 Aug; 7(30):16138-42. PubMed ID: 26192606
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Structural, Optical and Electrical Properties of HfO
    Kim KM; Jang JS; Yoon SG; Yun JY; Chung NK
    Materials (Basel); 2020 Apr; 13(9):. PubMed ID: 32344793
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Atomic Layer Deposition of Iron Sulfide and Its Application as a Catalyst in the Hydrogenation of Azobenzenes.
    Shao Y; Guo Z; Li H; Su Y; Wang X
    Angew Chem Int Ed Engl; 2017 Mar; 56(12):3226-3231. PubMed ID: 28170144
    [TBL] [Abstract][Full Text] [Related]  

  • 5. The first atomic layer deposition process for Fe
    Du L; Huang W; Zhang Y; Liu X; Ding Y
    Chem Commun (Camb); 2019 Feb; 55(13):1943-1946. PubMed ID: 30681083
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Atomic Layer Deposition of Nickel Carbide from a Nickel Amidinate Precursor and Hydrogen Plasma.
    Guo Q; Guo Z; Shi J; Xiong W; Zhang H; Chen Q; Liu Z; Wang X
    ACS Appl Mater Interfaces; 2018 Mar; 10(9):8384-8390. PubMed ID: 29443492
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N
    Faraz T; van Drunen M; Knoops HC; Mallikarjunan A; Buchanan I; Hausmann DM; Henri J; Kessels WM
    ACS Appl Mater Interfaces; 2017 Jan; 9(2):1858-1869. PubMed ID: 28059494
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Comparison of chemical stability and corrosion resistance of group IV metal oxide films formed by thermal and plasma-enhanced atomic layer deposition.
    Li M; Jin ZX; Zhang W; Bai YH; Cao YQ; Li WM; Wu D; Li AD
    Sci Rep; 2019 Jul; 9(1):10438. PubMed ID: 31320728
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Magnetic Properties of CoFe
    Pham CD; Chang J; Zurbuchen MA; Chang JP
    ACS Appl Mater Interfaces; 2017 Oct; 9(42):36980-36988. PubMed ID: 28925262
    [TBL] [Abstract][Full Text] [Related]  

  • 10. Uniformity of HfO
    Choi B; Kim HU; Jeon N
    Nanomaterials (Basel); 2022 Dec; 13(1):. PubMed ID: 36616071
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Plasma enhanced atomic layer deposition of silicon nitride using magnetized very high frequency plasma.
    Ji YJ; Kim HI; Kang JE; Choi SY; Kim KH; Kim DS; Ellingboe AR; Kim HM; Yeom GY; Kim DW
    Nanotechnology; 2024 Apr; 35(27):. PubMed ID: 38522102
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Atomic Layer Deposition of Bioactive TiO
    Blendinger F; Seitz D; Ottenschläger A; Fleischer M; Bucher V
    ACS Appl Mater Interfaces; 2021 Jan; 13(3):3536-3546. PubMed ID: 33438388
    [TBL] [Abstract][Full Text] [Related]  

  • 13. Plasma Enhanced Atomic Layer Deposition of Silicon Nitride for Two Different Aminosilane Precursors Using Very High Frequency (162 MHz) Plasma Source.
    Ji YJ; Kim HI; Choi SY; Kang JE; Ellingboe AR; Chandra H; Lee CW; Yeom GY
    ACS Appl Mater Interfaces; 2023 Jun; 15(23):28763-28771. PubMed ID: 37269552
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Low-Temperature Plasma-Enhanced Atomic Layer Deposition of Tin(IV) Oxide from a Functionalized Alkyl Precursor: Fabrication and Evaluation of SnO
    Mai L; Zanders D; Subaşı E; Ciftyurek E; Hoppe C; Rogalla D; Gilbert W; Arcos TL; Schierbaum K; Grundmeier G; Bock C; Devi A
    ACS Appl Mater Interfaces; 2019 Jan; 11(3):3169-3180. PubMed ID: 30624887
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.
    Faraz T; Knoops HCM; Verheijen MA; van Helvoirt CAA; Karwal S; Sharma A; Beladiya V; Szeghalmi A; Hausmann DM; Henri J; Creatore M; Kessels WMM
    ACS Appl Mater Interfaces; 2018 Apr; 10(15):13158-13180. PubMed ID: 29554799
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films.
    Haeberle J; Henkel K; Gargouri H; Naumann F; Gruska B; Arens M; Tallarida M; Schmeißer D
    Beilstein J Nanotechnol; 2013; 4():732-42. PubMed ID: 24367741
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Atomic Layer Deposition of Ru Thin Films Using a New Beta-Diketonate Ru Precursor and NH3 Plasma as a Reactant.
    Jung JH; Lee SJ; Lee HJ; Lee MY; Cheon T; Bae SI; Saito M; Suzuki K; Nabeya S; Lee J; Kim S; Yeom S; Seo JH; Kim SH
    J Nanosci Nanotechnol; 2015 Nov; 15(11):8472-7. PubMed ID: 26726537
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Low-Temperature Atomic Layer Deposition of Highly Conformal Tin Nitride Thin Films for Energy Storage Devices.
    Ansari MZ; Nandi DK; Janicek P; Ansari SA; Ramesh R; Cheon T; Shong B; Kim SH
    ACS Appl Mater Interfaces; 2019 Nov; 11(46):43608-43621. PubMed ID: 31633331
    [TBL] [Abstract][Full Text] [Related]  

  • 19. The Properties of Cu Thin Films on Ru Depending on the ALD Temperature.
    Yoon HC; Shin JH; Park HS; Suh SJ
    J Nanosci Nanotechnol; 2015 Feb; 15(2):1601-4. PubMed ID: 26353698
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Thermal atomic layer deposition of rhenium nitride and rhenium metal thin films using methyltrioxorhenium.
    Cwik S; Woods KN; Perera SS; Saly MJ; Knisley TJ; Winter CH
    Dalton Trans; 2021 Dec; 50(48):18202-18211. PubMed ID: 34860223
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 9.