These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

127 related articles for article (PubMed ID: 37420866)

  • 21. Low-Power Hardware Implementation of a Support Vector Machine Training and Classification for Neural Seizure Detection.
    Elhosary H; Zakhari MH; Elgammal MA; Abd El Ghany MA; Salama KN; Mostafa H
    IEEE Trans Biomed Circuits Syst; 2019 Dec; 13(6):1324-1337. PubMed ID: 31613779
    [TBL] [Abstract][Full Text] [Related]  

  • 22. FPGA Implementation of Complex-Valued Neural Network for Polar-Represented Image Classification.
    Ahmad M; Zhang L; Chowdhury MEH
    Sensors (Basel); 2024 Jan; 24(3):. PubMed ID: 38339614
    [TBL] [Abstract][Full Text] [Related]  

  • 23. Design of Network-on-Chip-Based Restricted Coulomb Energy Neural Network Accelerator on FPGA Device.
    Kang S; Lee S; Jung Y
    Sensors (Basel); 2024 Mar; 24(6):. PubMed ID: 38544154
    [TBL] [Abstract][Full Text] [Related]  

  • 24. GeCo: Classification Restricted Boltzmann Machine Hardware for On-Chip Semisupervised Learning and Bayesian Inference.
    Yi W; Park J; Kim JJ
    IEEE Trans Neural Netw Learn Syst; 2020 Jan; 31(1):53-65. PubMed ID: 30892240
    [TBL] [Abstract][Full Text] [Related]  

  • 25. Lightweight and Energy-Efficient Deep Learning Accelerator for Real-Time Object Detection on Edge Devices.
    Kim K; Jang SJ; Park J; Lee E; Lee SS
    Sensors (Basel); 2023 Jan; 23(3):. PubMed ID: 36772225
    [TBL] [Abstract][Full Text] [Related]  

  • 26. Wearable on-device deep learning system for hand gesture recognition based on FPGA accelerator.
    Jiang W; Ye X; Chen R; Su F; Lin M; Ma Y; Zhu Y; Huang S
    Math Biosci Eng; 2020 Nov; 18(1):132-153. PubMed ID: 33525084
    [TBL] [Abstract][Full Text] [Related]  

  • 27. Impact of Synaptic Device Variations on Classification Accuracy in a Binarized Neural Network.
    Kim S; Kim HD; Choi SJ
    Sci Rep; 2019 Oct; 9(1):15237. PubMed ID: 31645636
    [TBL] [Abstract][Full Text] [Related]  

  • 28. A Heterogeneous Hardware Accelerator for Image Classification in Embedded Systems.
    PĂ©rez I; Figueroa M
    Sensors (Basel); 2021 Apr; 21(8):. PubMed ID: 33918668
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Binarized Neural Network with Silicon Nanosheet Synaptic Transistors for Supervised Pattern Classification.
    Kim S; Choi B; Yoon J; Lee Y; Kim HD; Kang MH; Choi SJ
    Sci Rep; 2019 Aug; 9(1):11705. PubMed ID: 31406242
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Hardware Trojan Attacks on the Reconfigurable Interconnections of Field-Programmable Gate Array-Based Convolutional Neural Network Accelerators and a Physically Unclonable Function-Based Countermeasure Detection Technique.
    Hou J; Liu Z; Yang Z; Yang C
    Micromachines (Basel); 2024 Jan; 15(1):. PubMed ID: 38276848
    [TBL] [Abstract][Full Text] [Related]  

  • 31. Real-Time Inference With 2D Convolutional Neural Networks on Field Programmable Gate Arrays for High-Rate Particle Imaging Detectors.
    Jwa YJ; Di Guglielmo G; Arnold L; Carloni L; Karagiorgi G
    Front Artif Intell; 2022; 5():855184. PubMed ID: 35664508
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Alzheimer's disease detection using depthwise separable convolutional neural networks.
    Liu J; Li M; Luo Y; Yang S; Li W; Bi Y
    Comput Methods Programs Biomed; 2021 May; 203():106032. PubMed ID: 33713959
    [TBL] [Abstract][Full Text] [Related]  

  • 33. Designing Deep Learning Hardware Accelerator and Efficiency Evaluation.
    Qi Z; Chen W; Naqvi RA; Siddique K
    Comput Intell Neurosci; 2022; 2022():1291103. PubMed ID: 35875766
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Lossless Decompression Accelerator for Embedded Processor with GUI.
    Hwang GB; Cho KN; Han CY; Oh HW; Yoon YH; Lee SE
    Micromachines (Basel); 2021 Jan; 12(2):. PubMed ID: 33572563
    [TBL] [Abstract][Full Text] [Related]  

  • 35. A novel word spotting method based on recurrent neural networks.
    Frinken V; Fischer A; Manmatha R; Bunke H
    IEEE Trans Pattern Anal Mach Intell; 2012 Feb; 34(2):211-24. PubMed ID: 21646681
    [TBL] [Abstract][Full Text] [Related]  

  • 36. FPGA-Based Implementation of Stochastic Configuration Networks for Regression Prediction.
    Gao Y; Luan F; Pan J; Li X; He Y
    Sensors (Basel); 2020 Jul; 20(15):. PubMed ID: 32731462
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Quantization-Aware NN Layers with High-throughput FPGA Implementation for Edge AI.
    Pistellato M; Bergamasco F; Bigaglia G; Gasparetto A; Albarelli A; Boschetti M; Passerone R
    Sensors (Basel); 2023 May; 23(10):. PubMed ID: 37430583
    [TBL] [Abstract][Full Text] [Related]  

  • 38. Accelerating GRAPPA reconstruction using SoC design for real-time cardiac MRI.
    Basit A; Inam O; Omer H
    Comput Biol Med; 2023 Jun; 160():107008. PubMed ID: 37159960
    [TBL] [Abstract][Full Text] [Related]  

  • 39. FPGA implementation of hardware processing modules as coprocessors in brain-machine interfaces.
    Wang D; Hao Y; Zhu X; Zhao T; Wang Y; Chen Y; Chen W; Zheng X
    Annu Int Conf IEEE Eng Med Biol Soc; 2011; 2011():4613-6. PubMed ID: 22255365
    [TBL] [Abstract][Full Text] [Related]  

  • 40. An FPGA implementation of Bayesian inference with spiking neural networks.
    Li H; Wan B; Fang Y; Li Q; Liu JK; An L
    Front Neurosci; 2023; 17():1291051. PubMed ID: 38249589
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 7.