These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

124 related articles for article (PubMed ID: 38067811)

  • 21. FPGA-based neural network accelerators for millimeter-wave radio-over-fiber systems.
    Lee J; He J; Wang K
    Opt Express; 2020 Apr; 28(9):13384-13400. PubMed ID: 32403814
    [TBL] [Abstract][Full Text] [Related]  

  • 22. FPGA Implementation for Odor Identification with Depthwise Separable Convolutional Neural Network.
    Mo Z; Luo D; Wen T; Cheng Y; Li X
    Sensors (Basel); 2021 Jan; 21(3):. PubMed ID: 33513692
    [TBL] [Abstract][Full Text] [Related]  

  • 23. FPGA implementation of a biological neural network based on the Hodgkin-Huxley neuron model.
    Yaghini Bonabi S; Asgharian H; Safari S; Nili Ahmadabadi M
    Front Neurosci; 2014; 8():379. PubMed ID: 25484854
    [TBL] [Abstract][Full Text] [Related]  

  • 24. Lightweight and Real-Time Infrared Image Processor Based on FPGA.
    Wang X; He X; Zhu X; Zheng F; Zhang J
    Sensors (Basel); 2024 Feb; 24(4):. PubMed ID: 38400490
    [TBL] [Abstract][Full Text] [Related]  

  • 25. FPGA Implementation of Complex-Valued Neural Network for Polar-Represented Image Classification.
    Ahmad M; Zhang L; Chowdhury MEH
    Sensors (Basel); 2024 Jan; 24(3):. PubMed ID: 38339614
    [TBL] [Abstract][Full Text] [Related]  

  • 26. Hybrid Precision Floating-Point (HPFP) Selection to Optimize Hardware-Constrained Accelerator for CNN Training.
    Junaid M; Aliev H; Park S; Kim H; Yoo H; Sim S
    Sensors (Basel); 2024 Mar; 24(7):. PubMed ID: 38610356
    [TBL] [Abstract][Full Text] [Related]  

  • 27. Empirical mode decomposition and neural networks on FPGA for fault diagnosis in induction motors.
    Camarena-Martinez D; Valtierra-Rodriguez M; Garcia-Perez A; Osornio-Rios RA; Romero-Troncoso Rde J
    ScientificWorldJournal; 2014; 2014():908140. PubMed ID: 24678281
    [TBL] [Abstract][Full Text] [Related]  

  • 28. Real-Time Underwater Image Recognition with FPGA Embedded System for Convolutional Neural Network.
    Zhao M; Hu C; Wei F; Wang K; Wang C; Jiang Y
    Sensors (Basel); 2019 Jan; 19(2):. PubMed ID: 30654569
    [TBL] [Abstract][Full Text] [Related]  

  • 29. Optimizing the Deep Neural Networks by Layer-Wise Refined Pruning and the Acceleration on FPGA.
    Li H; Yue X; Wang Z; Chai Z; Wang W; Tomiyama H; Meng L
    Comput Intell Neurosci; 2022; 2022():8039281. PubMed ID: 35694575
    [TBL] [Abstract][Full Text] [Related]  

  • 30. Real-Time Inference With 2D Convolutional Neural Networks on Field Programmable Gate Arrays for High-Rate Particle Imaging Detectors.
    Jwa YJ; Di Guglielmo G; Arnold L; Carloni L; Karagiorgi G
    Front Artif Intell; 2022; 5():855184. PubMed ID: 35664508
    [TBL] [Abstract][Full Text] [Related]  

  • 31. A Low Memory Requirement MobileNets Accelerator Based on FPGA for Auxiliary Medical Tasks.
    Lin Y; Zhang Y; Yang X
    Bioengineering (Basel); 2022 Dec; 10(1):. PubMed ID: 36671600
    [TBL] [Abstract][Full Text] [Related]  

  • 32. Design of an Efficient CNN-based Cough Detection System on Lightweight FPGA.
    Peng P; Jiang K; You M; Xie J; Zhou H; Xu W; Lu J; Li X; Xu Y
    IEEE Trans Biomed Circuits Syst; 2023 Jan; PP():. PubMed ID: 37018680
    [TBL] [Abstract][Full Text] [Related]  

  • 33. An OpenCL-Based FPGA Accelerator for Faster R-CNN.
    An J; Zhang D; Xu K; Wang D
    Entropy (Basel); 2022 Sep; 24(10):. PubMed ID: 37420365
    [TBL] [Abstract][Full Text] [Related]  

  • 34. Design of FPGA-Based SHE and SPWM Digital Switching Controllers for 21-Level Cascaded H-Bridge Multilevel Inverter Model.
    Noorsal E; Rongi A; Ibrahim IR; Darus R; Kho D; Setumin S
    Micromachines (Basel); 2022 Jan; 13(2):. PubMed ID: 35208304
    [TBL] [Abstract][Full Text] [Related]  

  • 35. Efficient Hardware Design and Implementation of the Voting Scheme-Based Convolution.
    Pereira P; Silva J; Silva A; Fernandes D; Machado R
    Sensors (Basel); 2022 Apr; 22(8):. PubMed ID: 35458928
    [TBL] [Abstract][Full Text] [Related]  

  • 36. Acceleration of Deep Neural Network Training Using Field Programmable Gate Arrays.
    Tufa GT; Andargie FA; Bijalwan A
    Comput Intell Neurosci; 2022; 2022():8387364. PubMed ID: 36299439
    [TBL] [Abstract][Full Text] [Related]  

  • 37. Implementing spiking neural networks for real-time signal-processing and control applications: a model-validated FPGA approach.
    Pearson MJ; Pipe AG; Mitchinson B; Gurney K; Melhuish C; Gilhespy I; Nibouche M
    IEEE Trans Neural Netw; 2007 Sep; 18(5):1472-87. PubMed ID: 18220195
    [TBL] [Abstract][Full Text] [Related]  

  • 38. An FPGA Platform for Real-Time Simulation of Spiking Neuronal Networks.
    Pani D; Meloni P; Tuveri G; Palumbo F; Massobrio P; Raffo L
    Front Neurosci; 2017; 11():90. PubMed ID: 28293163
    [TBL] [Abstract][Full Text] [Related]  

  • 39. FPGA-Based Implementation of Stochastic Configuration Networks for Regression Prediction.
    Gao Y; Luan F; Pan J; Li X; He Y
    Sensors (Basel); 2020 Jul; 20(15):. PubMed ID: 32731462
    [TBL] [Abstract][Full Text] [Related]  

  • 40. Design of Flexible Hardware Accelerators for Image Convolutions and Transposed Convolutions.
    Sestito C; Spagnolo F; Perri S
    J Imaging; 2021 Oct; 7(10):. PubMed ID: 34677296
    [TBL] [Abstract][Full Text] [Related]  

    [Previous]   [Next]    [New Search]
    of 7.