BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

134 related articles for article (PubMed ID: 38398997)

  • 1. A Review of Reliability in Gate-All-Around Nanosheet Devices.
    Wang M
    Micromachines (Basel); 2024 Feb; 15(2):. PubMed ID: 38398997
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Leakage and Thermal Reliability Optimization of Stacked Nanosheet Field-Effect Transistors with SiC Layers.
    Li C; Shao Y; Kuang F; Liu F; Wang Y; Li X; Zhuang Y
    Micromachines (Basel); 2024 Mar; 15(4):. PubMed ID: 38675236
    [TBL] [Abstract][Full Text] [Related]  

  • 3. Vacuum Inner Spacer to Improve Annealing Effect during Electro-Thermal Annealing of Nanosheet FETs.
    Wang DH; Lee KS; Park JY
    Micromachines (Basel); 2022 Jun; 13(7):. PubMed ID: 35888803
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Vertical Gate-All-Around Device Architecture to Improve the Device Performance for Sub-5-nm Technology.
    Noh C; Han C; Won SM; Shin C
    Micromachines (Basel); 2022 Sep; 13(9):. PubMed ID: 36144174
    [TBL] [Abstract][Full Text] [Related]  

  • 5. The Understanding and Compact Modeling of Reliability in Modern Metal-Oxide-Semiconductor Field-Effect Transistors: From Single-Mode to Mixed-Mode Mechanisms.
    Sun Z; Chen S; Zhang L; Huang R; Wang R
    Micromachines (Basel); 2024 Jan; 15(1):. PubMed ID: 38258246
    [TBL] [Abstract][Full Text] [Related]  

  • 6. On the Vertically Stacked Gate-All-Around Nanosheet and Nanowire Transistor Scaling beyond the 5 nm Technology Node.
    Wong H; Kakushima K
    Nanomaterials (Basel); 2022 May; 12(10):. PubMed ID: 35630961
    [TBL] [Abstract][Full Text] [Related]  

  • 7. Experimental Study on Critical Parameters Degradation of Nano PDSOI MOSFET under TDDB Stress.
    Gao T; Yang J; Liu H; Lu Y; Liu C
    Micromachines (Basel); 2023 Jul; 14(8):. PubMed ID: 37630040
    [TBL] [Abstract][Full Text] [Related]  

  • 8. Degradation Measurement and Modelling under Ageing in a 16 nm FinFET FPGA.
    Sobas J; Marc F
    Micromachines (Basel); 2023 Dec; 15(1):. PubMed ID: 38258138
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs.
    Gu J; Zhang Q; Wu Z; Yao J; Zhang Z; Zhu X; Wang G; Li J; Zhang Y; Cai Y; Xu R; Xu G; Xu Q; Yin H; Luo J; Wang W; Ye T
    Nanomaterials (Basel); 2021 Jan; 11(2):. PubMed ID: 33530292
    [TBL] [Abstract][Full Text] [Related]  

  • 10. A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors.
    Yang J; Huang Z; Wang D; Liu T; Sun X; Qian L; Pan Z; Xu S; Wang C; Wu C; Xu M; Zhang DW
    Micromachines (Basel); 2023 May; 14(6):. PubMed ID: 37374692
    [TBL] [Abstract][Full Text] [Related]  

  • 11. First Demonstration of Novel Vertical Gate-All-Around Field-Effect-Transistors Featured by Self-Aligned and Replaced High-κ Metal Gates.
    Li C; Zhu H; Zhang Y; Wang Q; Yin X; Li J; Wang G; Kong Z; Ai X; Xie L; Liu Y; Li Y; Huang W; Yan Z; Xiao Z; Radamson HH; Li J; Wang W
    Nano Lett; 2021 Jun; 21(11):4730-4737. PubMed ID: 34038143
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors.
    Li J; Li Y; Zhou N; Xiong W; Wang G; Zhang Q; Du A; Gao J; Kong Z; Lin H; Xiang J; Li C; Yin X; Wang X; Yang H; Ma X; Han J; Zhang J; Hu T; Cao Z; Yang T; Li J; Yin H; Zhu H; Luo J; Wang W; Radamson HH
    Nanomaterials (Basel); 2020 Apr; 10(4):. PubMed ID: 32326106
    [TBL] [Abstract][Full Text] [Related]  

  • 13. CMOS Scaling for the 5 nm Node and Beyond: Device, Process and Technology.
    Radamson HH; Miao Y; Zhou Z; Wu Z; Kong Z; Gao J; Yang H; Ren Y; Zhang Y; Shi J; Xiang J; Cui H; Lu B; Li J; Liu J; Lin H; Xu H; Li M; Cao J; He C; Duan X; Zhao X; Su J; Du Y; Yu J; Wu Y; Jiang M; Liang D; Li B; Dong Y; Wang G
    Nanomaterials (Basel); 2024 May; 14(10):. PubMed ID: 38786792
    [TBL] [Abstract][Full Text] [Related]  

  • 14. A Feasible Alternative to FDSOI and FinFET: Optimization of W/La
    Mah SK; Ker PJ; Ahmad I; Zainul Abidin NF; Ali Gamel MM
    Materials (Basel); 2021 Sep; 14(19):. PubMed ID: 34640118
    [TBL] [Abstract][Full Text] [Related]  

  • 15. New structure transistors for advanced technology node CMOS ICs.
    Zhang Q; Zhang Y; Luo Y; Yin H
    Natl Sci Rev; 2024 Mar; 11(3):nwae008. PubMed ID: 38390365
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Implementation of Gate-All-Around Gate-Engineered Charge Plasma Nanowire FET-Based Common Source Amplifier.
    Singh S; Solay LR; Anand S; Kumar N; Ranjan R; Singh A
    Micromachines (Basel); 2023 Jun; 14(7):. PubMed ID: 37512666
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?
    Ando T
    Materials (Basel); 2012 Mar; 5(3):478-500. PubMed ID: 28817058
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Source/Drain Trimming Process to Improve Gate-All-Around Nanosheet Transistors Switching Performance and Enable More Stacks of Nanosheets.
    Chen K; Yang J; Liu T; Wang D; Xu M; Wu C; Wang C; Xu S; Zhang DW; Liu W
    Micromachines (Basel); 2022 Jul; 13(7):. PubMed ID: 35888897
    [TBL] [Abstract][Full Text] [Related]  

  • 19. High-performance monolayer MoS
    Chou BJ; Chung YY; Yun WS; Hsu CF; Li MY; Su SK; Liew SL; Hou VD; Chen CW; Kei CC; Shen YY; Chang WH; Lee TY; Cheng CC; Radu IP; Chien CH
    Nanotechnology; 2024 Jan; 35(12):. PubMed ID: 38061057
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Investigation of the Combined Effect of Total Ionizing Dose and Time-Dependent Dielectric Breakdown on PDSOI Devices.
    Yang J; Liu H; Yang K
    Micromachines (Basel); 2022 Aug; 13(9):. PubMed ID: 36144057
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 7.