These tools will no longer be maintained as of December 31, 2024. Archived website can be found here. PubMed4Hh GitHub repository can be found here. Contact NLM Customer Service if you have questions.


BIOMARKERS

Molecular Biopsy of Human Tumors

- a resource for Precision Medicine *

112 related articles for article (PubMed ID: 39008389)

  • 1. Hyperdimensional Brain-Inspired Learning for Phoneme Recognition With Large-Scale Inferior Colliculus Neural Activities.
    Ni Y; Yang Y; Chen H; Wang X; Lesica N; Zeng FG; Imani M
    IEEE Trans Biomed Eng; 2024 Jul; PP():. PubMed ID: 39008389
    [TBL] [Abstract][Full Text] [Related]  

  • 2. Hyperdimensional computing with holographic and adaptive encoder.
    Hernández-Cano A; Ni Y; Zou Z; Zakeri A; Imani M
    Front Artif Intell; 2024; 7():1371988. PubMed ID: 38655269
    [TBL] [Abstract][Full Text] [Related]  

  • 3. An encoding framework for binarized images using hyperdimensional computing.
    Smets L; Van Leekwijck W; Tsang IJ; Latré S
    Front Big Data; 2024; 7():1371518. PubMed ID: 38946939
    [TBL] [Abstract][Full Text] [Related]  

  • 4. Enhanced Noise-Resilient Pressure Mat System Based on Hyperdimensional Computing.
    Asgarinejad F; Yu X; Jiang D; Morris J; Rosing T; Aksanli B
    Sensors (Basel); 2024 Feb; 24(3):. PubMed ID: 38339732
    [TBL] [Abstract][Full Text] [Related]  

  • 5. Memory-inspired spiking hyperdimensional network for robust online learning.
    Zou Z; Alimohamadi H; Zakeri A; Imani F; Kim Y; Najafi MH; Imani M
    Sci Rep; 2022 May; 12(1):7641. PubMed ID: 35538126
    [TBL] [Abstract][Full Text] [Related]  

  • 6. Achieving software-equivalent accuracy for hyperdimensional computing with ferroelectric-based in-memory computing.
    Kazemi A; Müller F; Sharifi MM; Errahmouni H; Gerlach G; Kämpfe T; Imani M; Hu XS; Niemier M
    Sci Rep; 2022 Nov; 12(1):19201. PubMed ID: 36357468
    [TBL] [Abstract][Full Text] [Related]  

  • 7. GrapHD: Graph-Based Hyperdimensional Memorization for Brain-Like Cognitive Learning.
    Poduval P; Alimohamadi H; Zakeri A; Imani F; Najafi MH; Givargis T; Imani M
    Front Neurosci; 2022; 16():757125. PubMed ID: 35185456
    [TBL] [Abstract][Full Text] [Related]  

  • 8. EventHD: Robust and efficient hyperdimensional learning with neuromorphic sensor.
    Zou Z; Alimohamadi H; Kim Y; Najafi MH; Srinivasa N; Imani M
    Front Neurosci; 2022; 16():858329. PubMed ID: 35968370
    [TBL] [Abstract][Full Text] [Related]  

  • 9. Energy-Efficient Sleep Apnea Detection Using a Hyperdimensional Computing Framework Based on Wearable Bracelet Photoplethysmography.
    Chen T; Zhang J; Xu Z; Redmond SJ; Lovell NH; Liu G; Wang C
    IEEE Trans Biomed Eng; 2024 Aug; 71(8):2483-2494. PubMed ID: 38483799
    [TBL] [Abstract][Full Text] [Related]  

  • 10. A Highly Energy-Efficient Hyperdimensional Computing Processor for Biosignal Classification.
    Menon A; Sun D; Sabouri S; Lee K; Aristio M; Liew H; Rabaey JM
    IEEE Trans Biomed Circuits Syst; 2022 Aug; 16(4):524-534. PubMed ID: 35776812
    [TBL] [Abstract][Full Text] [Related]  

  • 11. Supervised Contrastive Learning Framework and Hardware Implementation of Learned ResNet for Real-time Respiratory Sound Classification.
    Hu J; Leow CS; Tao S; Goh WL; Gao Y
    IEEE Trans Biomed Circuits Syst; 2024 Jun; PP():. PubMed ID: 38837933
    [TBL] [Abstract][Full Text] [Related]  

  • 12. Distributed large-scale graph processing on FPGAs.
    Sahebi A; Barbone M; Procaccini M; Luk W; Gaydadjiev G; Giorgi R
    J Big Data; 2023; 10(1):95. PubMed ID: 37283690
    [TBL] [Abstract][Full Text] [Related]  

  • 13. NeuroFlow: A General Purpose Spiking Neural Network Simulation Platform using Customizable Processors.
    Cheung K; Schultz SR; Luk W
    Front Neurosci; 2015; 9():516. PubMed ID: 26834542
    [TBL] [Abstract][Full Text] [Related]  

  • 14. Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata.
    Menon A; Natarajan A; Agashe R; Sun D; Aristio M; Liew H; Shao YS; Rabaey JM
    Brain Inform; 2022 Jun; 9(1):14. PubMed ID: 35759153
    [TBL] [Abstract][Full Text] [Related]  

  • 15. Acceleration of Deep Neural Network Training Using Field Programmable Gate Arrays.
    Tufa GT; Andargie FA; Bijalwan A
    Comput Intell Neurosci; 2022; 2022():8387364. PubMed ID: 36299439
    [TBL] [Abstract][Full Text] [Related]  

  • 16. Density Encoding Enables Resource-Efficient Randomly Connected Neural Networks.
    Kleyko D; Kheffache M; Frady EP; Wiklund U; Osipov E
    IEEE Trans Neural Netw Learn Syst; 2021 Aug; 32(8):3777-3783. PubMed ID: 32833655
    [TBL] [Abstract][Full Text] [Related]  

  • 17. Efficient FPGA Implementation of Convolutional Neural Networks and Long Short-Term Memory for Radar Emitter Signal Recognition.
    Wu B; Wu X; Li P; Gao Y; Si J; Al-Dhahir N
    Sensors (Basel); 2024 Jan; 24(3):. PubMed ID: 38339606
    [TBL] [Abstract][Full Text] [Related]  

  • 18. Presentation Attack Detection on Limited-Resource Devices Using Deep Neural Classifiers Trained on Consistent Spectrogram Fragments.
    Kubicki K; Kapusta P; Ślot K
    Sensors (Basel); 2021 Nov; 21(22):. PubMed ID: 34833803
    [TBL] [Abstract][Full Text] [Related]  

  • 19. Runtime Programmable and Memory Bandwidth Optimized FPGA-Based Coprocessor for Deep Convolutional Neural Network.
    Shah N; Chaudhari P; Varghese K
    IEEE Trans Neural Netw Learn Syst; 2018 Dec; 29(12):5922-5934. PubMed ID: 29993989
    [TBL] [Abstract][Full Text] [Related]  

  • 20. Training a Hyperdimensional Computing Classifier Using a Threshold on Its Confidence.
    Smets L; Van Leekwijck W; Tsang IJ; Latré S
    Neural Comput; 2023 Nov; 35(12):2006-2023. PubMed ID: 37844327
    [TBL] [Abstract][Full Text] [Related]  

    [Next]    [New Search]
    of 6.